N bit Multiplier in Verilog (with code)| Verilog Project | Xilinx Vivado | Electronics Project

แชร์
ฝัง
  • เผยแพร่เมื่อ 17 ก.ย. 2024

ความคิดเห็น • 62

  • @dr.ritamahajan9937
    @dr.ritamahajan9937 2 ปีที่แล้ว +5

    Excellent work. Proud of you

    • @ArjunNarula1122
      @ArjunNarula1122  2 ปีที่แล้ว +1

      Thank you ma'am. Your appreciation means a lot!!

  • @the_coffee_addict4500
    @the_coffee_addict4500 2 ปีที่แล้ว +3

    Finding your content Very Useful ... keep doing it ,Arjun Narula😄

    • @ArjunNarula1122
      @ArjunNarula1122  2 ปีที่แล้ว +1

      Glad you liked it, Sandeep. Thanks!!

  • @veenuchadha983
    @veenuchadha983 2 ปีที่แล้ว +3

    Great project Arjun
    Well done
    God Bless you

  • @chaitanyagupta6668
    @chaitanyagupta6668 2 ปีที่แล้ว +3

    Tricky concept explained in easy way, naice.😍

  • @adityamehta4147
    @adityamehta4147 2 ปีที่แล้ว +3

    Detailed explanation👏
    Looking forward for more videos💯

    • @ArjunNarula1122
      @ArjunNarula1122  2 ปีที่แล้ว +1

      Thanks Aditya 😊.
      Stay tuned 😉.

  • @vimminarula5523
    @vimminarula5523 2 ปีที่แล้ว +3

    Nice presentation God bless you

  • @poojaagrawal4417
    @poojaagrawal4417 2 ปีที่แล้ว +3

    Great work! Crisp and easy to understand. 😀😀

  • @ishajain949
    @ishajain949 2 ปีที่แล้ว +3

    Another great video, project and explanation as always 🤩✨

  • @adityaagrawal8717
    @adityaagrawal8717 2 ปีที่แล้ว +3

    Such a cool project with an amazing explanation. Kudos to the amazing work 🔥💖

  • @diwanshi6669
    @diwanshi6669 2 ปีที่แล้ว +3

    Amazing work as well as explanation👏

  • @saksham2031
    @saksham2031 2 ปีที่แล้ว +3

    Awesome explanation 👌

  • @yuganshibharti3892
    @yuganshibharti3892 2 ปีที่แล้ว +3

    Great project and explanation as well!🔥🔥 Keep it up 😎😎

  • @tanmayjoshi1788
    @tanmayjoshi1788 2 ปีที่แล้ว +2

    Very good project with great explanation. Keep it up!!!

    • @ArjunNarula1122
      @ArjunNarula1122  2 ปีที่แล้ว +1

      Thanks for the appreciation Tanmay ✨

  • @muskanmittal4171
    @muskanmittal4171 2 ปีที่แล้ว +3

    Great project, well explained!

  • @mehakgoyal7173
    @mehakgoyal7173 2 ปีที่แล้ว +3

    Great video and explanation!

    • @ArjunNarula1122
      @ArjunNarula1122  2 ปีที่แล้ว +2

      Thank you for your help and guidance ☺️😇

  • @raeleenkanda8270
    @raeleenkanda8270 2 ปีที่แล้ว +3

    Quite helpful 👍🏻👍🏻

  • @ritikgupta8478
    @ritikgupta8478 2 ปีที่แล้ว +3

    Great explanation !! 💯💯

  • @vankshubansal6495
    @vankshubansal6495 2 ปีที่แล้ว +3

    Great work!!

  • @sarthakwali1210
    @sarthakwali1210 2 ปีที่แล้ว +3

    Good project! 👍

  • @saumyachandra3553
    @saumyachandra3553 2 ปีที่แล้ว +3

    Nice work

  • @vimminarula5523
    @vimminarula5523 2 ปีที่แล้ว +3

    Good work keep it up

  • @Desi_Odyssey
    @Desi_Odyssey 2 ปีที่แล้ว +3

    Vadiya 👌

  • @harmanchawla33
    @harmanchawla33 2 ปีที่แล้ว +3

    💯💯💯💯

  • @geetikabansal7311
    @geetikabansal7311 2 ปีที่แล้ว +3

    👍👍

  • @sanyamjain1617
    @sanyamjain1617 2 ปีที่แล้ว +3

    👌👌👍👍

  • @isaacmorenofuentes6194
    @isaacmorenofuentes6194 ปีที่แล้ว

    You are awesome Arjun!!!

  • @harshitgupta476
    @harshitgupta476 2 ปีที่แล้ว +1

    I don't understood the need of clock in this code???

  • @ArjunNarula1122
    @ArjunNarula1122  2 ปีที่แล้ว +2

    Please find the code in the link description. Any suggestions or queries are most welcomed.
    PLEASE SUBSCRIBE TO THE CHANNEL.
    Other Projects-
    ►Traffic Light Controller in Verilog - th-cam.com/video/Yt7no6rwCVk/w-d-xo.html
    ►Round Robin Arbiter in Verilog - th-cam.com/video/X6oJn7r9-8s/w-d-xo.html
    ►Vedic Multiplier in Verilog - th-cam.com/video/6M3nyyQfpHU/w-d-xo.html
    ►Clock with Alarm in Verilog - th-cam.com/video/pTk1H50e8bI/w-d-xo.html
    ►Washing Machine in Verilog - th-cam.com/video/iAoi9jTzxcI/w-d-xo.html
    ►N bit Multiplier in Verilog - th-cam.com/video/lmzCdx6gkdU/w-d-xo.html
    ►PWM Shift Register in Verilog - th-cam.com/video/Pz9sPflKpXc/w-d-xo.html
    ►Vending Machine in Verilog - th-cam.com/video/tJc0blBDRzo/w-d-xo.html
    ►Hexadecimal Keypad Scanner in Verilog - th-cam.com/video/Y1cp2kwos5M/w-d-xo.html
    ►RAM - ROM Design in Verilog - th-cam.com/video/m18YU9xjETU/w-d-xo.html

  • @Thepahadiduo
    @Thepahadiduo 2 ปีที่แล้ว

    Brother, when we got our final output, should we not reset our inputs because in 'a' there is some value that will remain.
    So for the next multiplication, we have to reset our machine for further calculation.

  • @apoorvakn8285
    @apoorvakn8285 2 ปีที่แล้ว +1

    thank you

  • @akshaybharadwaj1508
    @akshaybharadwaj1508 2 ปีที่แล้ว

    tq for the knowledge transfer

  • @smas5762
    @smas5762 ปีที่แล้ว

    Tanks❤

  • @yashasgowda2723
    @yashasgowda2723 2 ปีที่แล้ว

    Which simulation tool should i use to execute this code

  • @chessboard7379
    @chessboard7379 2 ปีที่แล้ว

    8 bit multiplier hai ismein??

  • @chessboard7379
    @chessboard7379 2 ปีที่แล้ว

    Multiplier kitne bit ka h

  • @MoneyMachine68
    @MoneyMachine68 ปีที่แล้ว

    🔴🔴🔴Can anyone PLEASE explain why
    ( ((2*N)/3)+1)*4 -1) taken for BCD ?