SPI Master in FPGA, VHDL Testbench

แชร์
ฝัง
  • เผยแพร่เมื่อ 8 พ.ค. 2019
  • Now we introduce the testbench for the SPI Master in VHDL. The testbench is critical to ensure our code is working in a simulation environment.
    Please help me keep creating great content. Support me on Patreon:
    / nandland
    Also get yourself an FPGA board, The Go Board, so you can try this code on your own.
    www.nandland.com/goboard/intr...
  • วิทยาศาสตร์และเทคโนโลยี

ความคิดเห็น • 8

  • @user-sj9st7xc3c
    @user-sj9st7xc3c ปีที่แล้ว

    You are awesome 😎
    This online website for coding just saved my life 💜❤️

  • @nitdawg007
    @nitdawg007 ปีที่แล้ว

    Ignore my previous comment I figured it out and it works.

  • @nitdawg007
    @nitdawg007 ปีที่แล้ว

    Thanks. This a great resourse to learn VHDL. I was trying out a simple OR gate example with free account but run was clicked it said a do file was created but the simulation never appeared.

  • @AkbarRajaei
    @AkbarRajaei 2 ปีที่แล้ว +1

    Do you use Vunit or UVVM/OSVVM ?
    Whether yes or not, please share your opinion.

  • @babatundetaiwo2817
    @babatundetaiwo2817 ปีที่แล้ว

    What does initializing a signal do in hardware? do the initialization add to the synthesis performed by the EDA tool?

  • @mashur7835
    @mashur7835 ปีที่แล้ว

    I have an fpga with 27MHz clock. Can I use both the pos edge and neg edge to create a 54Mhz sclk signal for the spi slave?

  • @shashi3758
    @shashi3758 4 ปีที่แล้ว +1

    hey russel thanks for the video .. but there is some problem in this code I'm not able to run synthesis in vivado 2018.3 ... can u please check it out ...

    • @euxheniodragoj2806
      @euxheniodragoj2806 4 ปีที่แล้ว +1

      std_logic_vector is missing "(7 downto 0)" in a couple of places if you downloaded the code from GitHub. Compare it with the video, look for "i_TX_Byte" and "o_RX_Byte".
      Help yourself with this: www.edaplayground.com/x/5CMQ
      Also the "to_hstring" explicit cast was not working for me, in the report statements, at the end of TestBench. I just commented them.
      I was simulating on Active-HDL software and was able to debug it.
      Live Update: Active HDL was set to VHDL version 2002 and was not recognizing the to_hstring function. Solve it by setting to the last version of VHDL.