Generic Sine Wave Generator (LUT Based) in VHDL

แชร์
ฝัง
  • เผยแพร่เมื่อ 16 ก.ค. 2024
  • In this video, I want to share and explain a LUT based Sine wave generator. The code is written so that it can be easily changed to generate different frequencies.
    The online tool for generating Sine values: www.daycounter.com/Calculator...
    VHDL Sine wave generator with testbench: drive.google.com/drive/folder...
    Link to my VHDL Blog: vhdlguru.blogspot.com/
  • วิทยาศาสตร์และเทคโนโลยี

ความคิดเห็น • 6

  • @naughtynatwar4326
    @naughtynatwar4326 ปีที่แล้ว

    good and rare content👌👌👍

    • @VCodes
      @VCodes  4 หลายเดือนก่อน

      Thank you!

  • @sundramkumar5655
    @sundramkumar5655 8 หลายเดือนก่อน

    please explain the steps involved in getting the rom values from matlab

  • @mubasheer5584
    @mubasheer5584 ปีที่แล้ว

    Good one bro.. Thanks for this tutorial. How do I map these digital outputs to 12 bit parallel DAC inputs?.

  • @slavahd2641
    @slavahd2641 11 หลายเดือนก่อน

    how i can output the sine wave in 1 output

    • @VCodes
      @VCodes  4 หลายเดือนก่อน

      What do you mean?