Writing a simple Testbench in VHDL - #1 Of Testbench Series

แชร์
ฝัง
  • เผยแพร่เมื่อ 28 ส.ค. 2024

ความคิดเห็น • 14

  • @adelingt
    @adelingt 5 หลายเดือนก่อน

    exceptional tutorial. Best I've seen. There are only 3 things to keep in mind: signal declaration before the first begin, instantiation (port mapping), then process to update in time the inputs.

  • @nitdawg007
    @nitdawg007 6 วันที่ผ่านมา

    This was a great explanation video. Can you try creating a video with self checking testbench of a slightly complex module.

  • @dhoneybeekingdom7889
    @dhoneybeekingdom7889 ปีที่แล้ว

    I come from a software background and I am just starting with hardware development. As such, I really appreciate examples with SIMPLE logic like this (adders, counters, memories, etc. are too complex for me at this point). Thank you for this tutorial.

    • @VCodes
      @VCodes  5 หลายเดือนก่อน

      Sure. Hope those things you mentioned arent that complicated anymore! To people from software background I say, "Think along hardware, and not software".

  • @pepesylvia2208
    @pepesylvia2208 ปีที่แล้ว +2

    thank you, this was very straight forward and not overwhelming

    • @VCodes
      @VCodes  5 หลายเดือนก่อน

      Thank you!

  • @Bo6oo
    @Bo6oo ปีที่แล้ว +1

    This was a very good explanation, it helped me a lot! Greetings from germany

    • @VCodes
      @VCodes  5 หลายเดือนก่อน

      Thank you!

  • @LNguyen921
    @LNguyen921 8 หลายเดือนก่อน

    thank you for the tutorial! was very clear and easy to follow.

    • @VCodes
      @VCodes  5 หลายเดือนก่อน +1

      Thank you!

  • @yassinekhlaf2053
    @yassinekhlaf2053 7 หลายเดือนก่อน

    thank you it helps so much

    • @VCodes
      @VCodes  5 หลายเดือนก่อน

      You're welcome!

  • @janhorak597
    @janhorak597 ปีที่แล้ว

    Thank you very much

    • @VCodes
      @VCodes  5 หลายเดือนก่อน

      Thank you