Using Xilinx IP Cores Within Your Design

แชร์
ฝัง
  • เผยแพร่เมื่อ 17 ก.ค. 2024
  • #XilinxIPCores #FIFOGenerator #XilinxCoreInserter
    In this video we discuss how to use IP cores provided by Xilinx/third party within your design. Uses Fifo generator as an example case and shows common clock and assymmetric implementations

ความคิดเห็น • 12

  • @markevenson3540
    @markevenson3540 ปีที่แล้ว

    You are a very talented man. Great videos !!! Thanks

  • @tobaawoniyi9418
    @tobaawoniyi9418 2 ปีที่แล้ว +1

    i love u bro u just saved my life and academic career

  • @satpatel7508
    @satpatel7508 3 ปีที่แล้ว +1

    Excellent introduction!

  • @ABC-eh3wc
    @ABC-eh3wc ปีที่แล้ว

    Great tutorial.

  • @ViZYNQTECHNOLOGIES
    @ViZYNQTECHNOLOGIES ปีที่แล้ว

    THANK YOU VIPIN IT IS GREAT JOB AND I REQUEST YOU PLEASE MAKE VIDEO ON ETHERNET COMMUNICATION IN FABRIC AND AURORA IP

  • @sridevia4819
    @sridevia4819 3 ปีที่แล้ว

    So useful video sir. Thank you.How to view the contents in bram whether if we are storing large data.?

  • @van-dungpham3699
    @van-dungpham3699 3 ปีที่แล้ว +3

    Thank Prof, I hope everything is fine with you, your family, and your country.
    I have a question in terms of using the IP of Xilinx. I saw that each IP has a lot of documentation (User Guide, Product Technical Guide, Examples in Software) that I don't know where I can begin to study. In order to understand and use them, we need to read all of them(It takes too much time). It does not matter when I watch your videos however if I start with new IPs, I feel the difficulty. Could you share the experiment to master these IPs, please?

    • @satpatel7508
      @satpatel7508 3 ปีที่แล้ว

      Facing the same issue!

  • @mathiazhaganvenkatachalam5414
    @mathiazhaganvenkatachalam5414 2 ปีที่แล้ว

    Thanks a lot sir ,Here u have used only FIFO and simulated it , I have a doubt how to interface more than one ip core in a design and simulate it could u please share me tutorial related to it .'
    Thanks in advance

    • @ericqiang7502
      @ericqiang7502 ปีที่แล้ว

      I have the same question as yours. Did you solve this issue? how to simulation the big project which includes many different ip cores?

  • @sarangpurnaye
    @sarangpurnaye 3 ปีที่แล้ว

    Where will get the description of input,output and inout signals of the IP . I was using MIG DDR3 IP but i don't understand the meaning of some signals

    • @satpatel7508
      @satpatel7508 3 ปีที่แล้ว

      In the documentation available on Xilinx website