VHDL Lecture 21 Lab 7 - Voting Machine Explanation

แชร์
ฝัง
  • เผยแพร่เมื่อ 29 ส.ค. 2024
  • Welcome to Eduvance Social.
    Our channel has lecture series to make the process of getting started with technologies easy and fun so you can make interesting projects and products.
    The channel hosts series of lectures to get started with different technologies covering topics like Programmable system on chip (PSoC), ARM mbed, Arduino, FPGA design using VHDL, VLSI design using Electric, Spice modelling using LT spice, PCB designing using Eagle, Robotics and much more to come.
    Do like and subscribe to our channel.
    Keep learning! Keep Eduvancing!

ความคิดเห็น • 5

  • @conorstewart2214
    @conorstewart2214 3 ปีที่แล้ว +3

    I know this is a relatively old video but it is worth pointing out that this design can be simplified because the check and done states are unnecessary and that one hot assignment also uses more resources than other methods but they can get complicated with figuring out the optimal configuration, but I do get that this is easier to explain and it has been a great video series so far.
    edit: If you think about it the initial state is unnecessary as well.

  • @amrutamali7269
    @amrutamali7269 7 ปีที่แล้ว

    Hi. I have followed all the steps but could not synthesize the code as getting WARNING:Xst:2677 - Node of sequential type is unconnected in block . Could you please help me to resolve this?

    • @EDUVANCE
      @EDUVANCE  6 ปีที่แล้ว

      There might be an assignment in your code you may have missed. Also even if a warning is generated, the code should be synthesized. Check if you have anything mentioned in errors list.

  • @coolwinder
    @coolwinder 7 ปีที่แล้ว +1

    Why does Finite State Machine needs to be clocked? Can't we build sequential circuit for FSM? Thank you.

    • @EDUVANCE
      @EDUVANCE  7 ปีที่แล้ว +11

      Finite State Machine Design is a combination of combinational and sequential logic. The presence of clock is required to transition from current state to next state on a particular clock edge.