Microblaze and UART Lite on the ARTY S7 | Vivado + Vitits

แชร์
ฝัง
  • เผยแพร่เมื่อ 12 ส.ค. 2021
  • Shows some basic functionality of the UART Lite core when connected with a Microblaze soft processor. Implemented with Vivado and Vitis 2020.1 on a Digilent ARTY S7-25 board.
    UART code: github.com/dominic-meads/Micr...
    Digilent Board Files How-To: reference.digilentinc.com/pro...
    ARTY S7 board: store.digilentinc.com/arty-s7...
  • วิทยาศาสตร์และเทคโนโลยี

ความคิดเห็น • 28

  • @FPGAsforBeginners
    @FPGAsforBeginners 2 ปีที่แล้ว +4

    The xparameters and device ID defines are basically the unique addresses on the AXI bus that the FPGA uses to identify all the modules on the AXI interface. It's how it knows where to route the data over the AXI interface.
    Great video. Looking forward to trying vitis out, I haven't used it recently.

    • @doofus_robot7287
      @doofus_robot7287 2 ปีที่แล้ว +2

      Love seeing the people I'm watching commenting on the people I'm watching. Thanks for the explanation of the memory spaces.

  • @thalanayarmuthukumar5472
    @thalanayarmuthukumar5472 2 ปีที่แล้ว

    Great video anyone looking to get familiar with using Vivado and Vitis on a FPGA board, using Microblaze and testing a C program (in this case - the UART test). Looking forward to more such videos

  • @prolixescalation1932
    @prolixescalation1932 2 ปีที่แล้ว

    I love you. Everything that I needed in one video.

  • @joerit633
    @joerit633 ปีที่แล้ว

    Great video! Thanks Dom!!

  • @rinkeshpatel3331
    @rinkeshpatel3331 ปีที่แล้ว

    nice tutorial , help me a lot

  • @arichung5742
    @arichung5742 2 ปีที่แล้ว +1

    YOU ARE MY HERO

  • @nikolaykostishen6402
    @nikolaykostishen6402 ปีที่แล้ว

    Thanks!

  • @davidclift5989
    @davidclift5989 2 ปีที่แล้ว +2

    You get the warnings because you write to the two variables TxStatus & UartLiteStatus, but never read them. Maybe add some conditional statements to check they returned XST_SUCCESS or not

  • @neverstoptotalk
    @neverstoptotalk ปีที่แล้ว

    Would love to see a video where UART Rx from terminal controls FPGA output

  • @doofus_robot7287
    @doofus_robot7287 2 ปีที่แล้ว +1

    Wow, man. 101°F at 5pm ? You live in a very hot place. Great vid though. :-)

    • @Dom-bo8wd
      @Dom-bo8wd  2 ปีที่แล้ว +1

      Haha yep west coast gets hot in summer :(

  • @FPGAsforBeginners
    @FPGAsforBeginners 2 ปีที่แล้ว +3

    I think the set but not used error is because you're not reading it anywhere. Do you have an if statement to check the TxStatus?

    • @Dom-bo8wd
      @Dom-bo8wd  2 ปีที่แล้ว +1

      you right thanks!

  • @GauravKumar-lh7zn
    @GauravKumar-lh7zn 11 หลายเดือนก่อน

    Hello, I'm interested in obtaining data through UART, processing it using my custom IP, and then sending the response from my custom IP back through UART. I would appreciate guidance on how to establish the connection between my custom IP, MicroBlaze, and the UARTLite IP. Thank you for your assistance.

  • @thalanayarmuthukumar5472
    @thalanayarmuthukumar5472 2 ปีที่แล้ว

    Thanks for a great video. I am using Vivado and Vitis 19.2. At 20.29 on the video, when I right click on the uart_example_app to build the project, it gives this error message (mb-gcc.exe application was unable to start correctly (0xc0000142) - Any suggestions?

    • @thalanayarmuthukumar5472
      @thalanayarmuthukumar5472 2 ปีที่แล้ว

      The issue was with the toolchain on my laptop and when I installed my tools on a new computer, it worked right out of the box. Thanks for a great video

  • @MrKrishnanandaKHegde
    @MrKrishnanandaKHegde ปีที่แล้ว

    Is it possible to have 14 UARTs using Microblaze in SPARTAN-6 running at a baud rate of 460800?

  • @spaceman1328
    @spaceman1328 ปีที่แล้ว

    How can we change baudrate

  • @MrTacoGuy1000
    @MrTacoGuy1000 2 ปีที่แล้ว +1

    When I attempt to export the hardware from vivado I get this error "Cannot write hardware definition file as there are no generated IPI blocks". Any ideas what I'm doing wrong? I'm on the Arty A7 not the S7 so that might be an issue. Thanks!

    • @MrTacoGuy1000
      @MrTacoGuy1000 2 ปีที่แล้ว

      Never mind, I got it working !

    • @Dom-bo8wd
      @Dom-bo8wd  2 ปีที่แล้ว

      Glad to hear you got it working! What ended up fixing the issue?

    • @MrTacoGuy1000
      @MrTacoGuy1000 2 ปีที่แล้ว

      @@Dom-bo8wd I had to select “generate bitstream” option before exporting hardware

  • @paulorenatovalentim4449
    @paulorenatovalentim4449 ปีที่แล้ว

    Great video. Too bad you did not include any text receiving from the UART. Regarding the compiler warning about TxStatus, it is declared (int declaration) and set (= assignment) but not used anywhere. Basically the set line (line 92) is used to call the SendData function but that's it. You're not taking advantage of the return value of the function for anything.

  • @emcalnan
    @emcalnan ปีที่แล้ว

    I didn't know that the Arty S7 had a USB UART until I watched this video. It must be on that empty schematic page. Thanks! Hopefully you have figured out why the warning on the unused TxStatus. It is assigned but not used. Just delete it.

  • @HaSongSon123
    @HaSongSon123 2 ปีที่แล้ว

    I dont see any alphabet on my tera term, but only "Uart lite tx test successfull". Can you help me plz? Otherweise your video is very helpful to me. Thanks a lots !

    • @Dom-bo8wd
      @Dom-bo8wd  2 ปีที่แล้ว

      Ya sometimes the code launches and this happens, while the board is connected to the terminal, hit the reset and you should see the alphabet pop up

    • @petersjogren8668
      @petersjogren8668 ปีที่แล้ว

      Can it be because the local variable TxBytesSent is uninitialized? Local variables must be initialized. Otherwise they will have an undefined value. It should be initialized to 0. Or is this different somehow with C in this context?