How to print VHDL signal and variables to the simulator console

แชร์
ฝัง
  • เผยแพร่เมื่อ 13 ก.ย. 2024

ความคิดเห็น • 16

  • @ΝικΝοκ
    @ΝικΝοκ 6 หลายเดือนก่อน

    i am new in digital design, but verilog seems much more productive. i can't think a reason to use vhdl except that is popular ...

  • @PaulTheShed
    @PaulTheShed ปีที่แล้ว

    Helped me a lot too

  • @vilmondesribeiro4363
    @vilmondesribeiro4363 ปีที่แล้ว

    great! it helped me a lot

  • @zamanalferoz1
    @zamanalferoz1 2 ปีที่แล้ว

    Is there a way to write the value of variable width more than 32? casting it to 'image would truncate the value right? ro_integer will convert the value to the integer range

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      Yes, you can write a custom function that does it. I have one in the VHDLwhiz Membership (vhdlwhiz.com/membership) resource database.
      It has this prototype:
      function big_num_to_string(s : signed) return string;
      And there's an overloaded version for unsigned.
      I will give you a hint if you want to try for yourself: First, convert to BCD using the Double Dabble algorithm.

  • @monikakumari6953
    @monikakumari6953 2 ปีที่แล้ว

    How to plot internal signals in vhdl ? Can you plot the values you printed?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      This is how you do it:
      th-cam.com/video/wNlEaaNTxu8/w-d-xo.html

  • @shahinaiman
    @shahinaiman 2 ปีที่แล้ว

    thanks bro

  • @isseveremre
    @isseveremre 2 ปีที่แล้ว

    How can i print multiple value with one statement?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว +2

      Concatenate multiple strings with the & operator. Check out my blog post for examples: vhdlwhiz.com/define-and-print-multiline-string-literals-in-vhdl/

  • @mubasheer5584
    @mubasheer5584 3 ปีที่แล้ว

    Hi sir. Is there a way to design in VHDL to sample ADCs output and Store into a spram and represent them on 4 digits seven segment display at 62.2Hz refresh rate?

    • @PiezPiedPy
      @PiezPiedPy 3 ปีที่แล้ว

      It sure can be done.

    • @mubasheer5584
      @mubasheer5584 3 ปีที่แล้ว

      Are there any working example for this?

    • @PiezPiedPy
      @PiezPiedPy 3 ปีที่แล้ว +1

      @@mubasheer5584 You'll have to piece it together yourself from examples that have parts of your project. I used digikey's examples a lot when I was new.
      www.digikey.com/eewiki/display/LOGIC/Home

  • @funkysagancat3295
    @funkysagancat3295 2 ปีที่แล้ว

    how can I print bit_vector?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      By importing TEXTIO:
      use std.textio.all;
      and then using to_string():
      TEST_PROC : process
      constant bv : bit_vector(31 downto 0) := x"AABBCCDD";
      begin
      report to_hstring(bv); -- Print as hex
      report to_string(bv); -- Print as binary
      wait;
      end process;
      By the way, you should join VHDLwhiz's Facebook group where you can ask questions like this one and participate in the discussion with the > 10k other members!
      facebook.com/groups/vhdlwhiz/