Verilog HDL - Installing and Testing Icarus Verilog + GTKWave

แชร์
ฝัง
  • เผยแพร่เมื่อ 28 ส.ค. 2024
  • This is our first video on implementing digital logic circuits in Verilog, a Hardware Description Language (HDL). In this lesson we'll go through the installation (Windows) for Icarus and GTKWave and write a very simple, hello world, style module and testbench.

ความคิดเห็น • 100

  • @vevasam
    @vevasam 2 ปีที่แล้ว +8

    This is just beautiful. Simulation is synthesizing a test bench. Thank you.

  • @abdulpangandaman
    @abdulpangandaman 3 ปีที่แล้ว +6

    How come you only have a very few subscriber. You are underrated man! I wish you'll keep going. Btw, thank you, just done watching the first episode. I'm a computer engineer and I sense that it is a worth watching series. :)

  • @jeremyvidaurri
    @jeremyvidaurri 4 ปีที่แล้ว +24

    Just a heads up, he is using the grave accent ` when he says apostrophe.

  • @DarkLightning96
    @DarkLightning96 4 ปีที่แล้ว +10

    Next time you make a video like this, add the links to the description, it would help. Thanks! :)

  • @yubdr
    @yubdr 11 หลายเดือนก่อน

    Very simple explanation with a good example. Thanks!!

  • @abhiraj2005
    @abhiraj2005 6 วันที่ผ่านมา

    Thank you derek bhai love you.

  • @ArpitDhamija
    @ArpitDhamija 4 ปีที่แล้ว +12

    Getting this error, how to fix it , pls tell
    iverilog : The term 'iverilog' is not recognized as the name of a cmdlet, function, script file, or operable program. Check the
    spelling of the name, or if a path was included, verify that the path is correct and try again.
    At line:1 char:1
    + iverilog -o hello_tb.vvp hello.v hello_tb.v
    + ~~~~~~~~
    + CategoryInfo : ObjectNotFound: (iverilog:String) [], CommandNotFoundException
    + FullyQualifiedErrorId : CommandNotFoundException

    • @yelhaus
      @yelhaus  4 ปีที่แล้ว

      Hey Arpit! It looks like you may have made an error when you installed iverilog. This error is caused when the operating system doesn't have the 'iverilog' command in it's PATH variable.

    • @M4comp
      @M4comp 3 ปีที่แล้ว

      @@yelhaus I'm having this same issue. Someone help please.

    • @prateeksrivastava1269
      @prateeksrivastava1269 3 ปีที่แล้ว +2

      @@M4comp reinstall and while reinstalling, check mark both the download gtk and ADD PATH

    • @dmo4657
      @dmo4657 3 ปีที่แล้ว

      @@yelhaus How to fix this ? please help

    • @ankitdhaker111
      @ankitdhaker111 3 ปีที่แล้ว

      ADD VERILOG BIN PATH TO ENVIRONMENT VARIABLES SECTION .

  • @ashan8k
    @ashan8k 4 ปีที่แล้ว +13

    one good suggestion: Don't record the full screen. It's too small to see on TH-cam. Or please increase the font size.
    Thanks for this video :)

  • @ihanick
    @ihanick 4 ปีที่แล้ว +4

    Hi Derek, Thank you for the clean and easy tutorial on Icarus Verilog! Are you going to cover coding style (like "Verilog Coding Standard"), Verilog-2001 features, fusesoc (libraries management, build tool), Verilator? It's really hard to find explanation how to use something more complex than MUX or 7-segment indicators.

  • @bjrnbrodtkorb9288
    @bjrnbrodtkorb9288 2 ปีที่แล้ว

    Thank you so much for explaining this!

  • @bhonduakalmand9604
    @bhonduakalmand9604 ปีที่แล้ว

    Thanks for such a clear explanation

  • @EternalNoobCoder
    @EternalNoobCoder 2 ปีที่แล้ว

    Excellent tutorial. Thank You ❤️

  • @benedicti309
    @benedicti309 หลายเดือนก่อน

    Someone help, I've got a problem. The vvp file isn't getting created. And it gives me the error "Unable to open input file". What do I do?!

  • @devojyotichaki
    @devojyotichaki 2 หลายเดือนก่อน

    iverilog : The term 'iverilog' is not recognized as the name of a cmdlet, function, script file, or operable program. Check the spelling of the name, or if a path was included, verify
    that the path is correct and try again.
    I'm having this problem , can you please help me with me it?

  • @yipengtang957
    @yipengtang957 4 ปีที่แล้ว +1

    I installed iverilog and gtkWave on my Linux Mint. But it does not include VVP simulator. how everyone run simulation on the LInux?

  • @WeasyK
    @WeasyK 4 ปีที่แล้ว +3

    Big help, thank you!

    • @monishmeher3901
      @monishmeher3901 3 ปีที่แล้ว +1

      yeah true he's a god

    • @pratiklomte
      @pratiklomte 3 ปีที่แล้ว +2

      @@monishmeher3901 its you Monish the real one?! I am a big fan of your vidoes!! Nice to meet you

  • @alkac6654
    @alkac6654 3 ปีที่แล้ว +4

    After instalation, when I enter in terminal "iverilog" I obtain: 'iverilog' is not recognized as an internal or external command,
    operable program or batch file. OS is Windows 10. I tried different versions of the program. Did you met the same problem? Thanks for the answer.

    • @costanzapizzo9454
      @costanzapizzo9454 3 ปีที่แล้ว +1

      I was about to ask him the same question, have u found a solution?

    • @alkac6654
      @alkac6654 3 ปีที่แล้ว

      @@costanzapizzo9454 yes, in "environment variables" you need to add in path also path with \iverilog\bin . Drop me a massage on skype "zxz12m" for example if you need more help.

    • @costanzapizzo9454
      @costanzapizzo9454 3 ปีที่แล้ว

      @@alkac6654 oh okay thank you

  • @luizastorga8066
    @luizastorga8066 2 ปีที่แล้ว +1

    i was also getting the 'No top level modules, and no -s option." and i just forgot to save before compiling/running

  • @basilpmathew9639
    @basilpmathew9639 4 ปีที่แล้ว

    It helped me a lot, Thank You

  • @palzangnorgaygansarpa7367
    @palzangnorgaygansarpa7367 3 ปีที่แล้ว +2

    how do we fix "no top level modules and no -s option error" shown in terminal?

    • @prateeksrivastava1269
      @prateeksrivastava1269 3 ปีที่แล้ว

      hey , did u resolve ?

    • @supersultan7374
      @supersultan7374 2 ปีที่แล้ว

      @@prateeksrivastava1269 I had this problem too, it turns out I just forgot the "-o" in the command

    • @ashmt1084
      @ashmt1084 2 ปีที่แล้ว

      @@supersultan7374 just save the code

  • @ryoma8402
    @ryoma8402 3 ปีที่แล้ว +2

    Guys the vcd file is not created after running the vvp command. Any help?

    • @josephhyatt
      @josephhyatt 3 ปีที่แล้ว

      Did you ever figure this out? I'm having the same problem..

    • @ryoma8402
      @ryoma8402 3 ปีที่แล้ว +1

      @@josephhyatt No, I didn't. I ended up switching to ModelSim Student Edition. I got a licence key with a fake name and a disposable mobile phone and I managed to set it up. Good luck with ModelSim's hideous GUI btw. It's gonna take a lot of getting used to.

  • @khangnp7850
    @khangnp7850 2 ปีที่แล้ว

    great video!

  • @anurag_6363
    @anurag_6363 3 ปีที่แล้ว +2

    it's showing the error in terminal "No top level modules, and no -s option."

    • @UddhavSurve
      @UddhavSurve 3 ปีที่แล้ว

      check the folder in which you stored programs and then check whether its the same path shown in terminal

    • @LordBeerus14
      @LordBeerus14 3 ปีที่แล้ว

      Same Error

    • @LordBeerus14
      @LordBeerus14 3 ปีที่แล้ว

      @@UddhavSurve and yes, terminal is showing the folder where the programs are stored

  • @amirhossein_1235
    @amirhossein_1235 2 หลายเดือนก่อน

    great , thanks!

  • @GenkiKuri
    @GenkiKuri 5 หลายเดือนก่อน

    Thank you!

  • @joshuaduplaa9033
    @joshuaduplaa9033 ปีที่แล้ว

    Thank you master.

  • @shadon_official2510
    @shadon_official2510 3 ปีที่แล้ว

    amazing video

  • @z.o.e3023
    @z.o.e3023 2 ปีที่แล้ว

    It isnt creating the vcd file after the 'vvp hello_tb.vvp' step. Message not displayed either.
    Can you kindly help here?

    • @z.o.e3023
      @z.o.e3023 2 ปีที่แล้ว

      In this line in the code
      hello uut(A,B);
      hello and uut arent blue like yours

  • @eliasreyes4913
    @eliasreyes4913 4 ปีที่แล้ว

    nice video, helped my a lot

  • @remzialtparmak9070
    @remzialtparmak9070 ปีที่แล้ว

    Allah razı olsun abi

  • @user-zs8rg8xh4h
    @user-zs8rg8xh4h 9 หลายเดือนก่อน

    half_adder.vvp: Unable to open input file.
    getting this error please help

  • @dentakuweb
    @dentakuweb 3 ปีที่แล้ว

    How did you get the colour highlighting in your Powershell window?
    I see you get iverilog in yellow and -o in grey for example.

    • @kartikeyak3425
      @kartikeyak3425 2 ปีที่แล้ว

      did you add verilog to path?

  • @eldararush
    @eldararush 3 ปีที่แล้ว

    how to run the terminal command you wrote automatically when I run the code via vscode?

  • @subhraneelmukhopadhyay309
    @subhraneelmukhopadhyay309 ปีที่แล้ว

    what do I do when I get this "'iverilog' is not recognized as an internal or external command,
    operable program or batch file."

  • @ahmedhassanibrahimhassan5039
    @ahmedhassanibrahimhassan5039 ปีที่แล้ว

    thank you very much❤❤❤

  • @ravneet6648
    @ravneet6648 3 ปีที่แล้ว +1

    can we use it for .sv files?

  • @prateekchauhan8531
    @prateekchauhan8531 3 ปีที่แล้ว +1

    No such file or directory
    No top level modules, and no -s option.
    this problem is coming up
    can anyone solve this

    • @crazyTG_
      @crazyTG_ 6 หลายเดือนก่อน

      I am also getting the same problem, do you know how to resolve it. Help much appreciated

  • @heyitsmea8883
    @heyitsmea8883 2 ปีที่แล้ว

    Iam getting error and Thouse vvp file is not created in verilog folder please anybody help me with this..

  • @ArpitDhamija
    @ArpitDhamija 4 ปีที่แล้ว +1

    mine is not compiling

  • @pranathikottakapu4813
    @pranathikottakapu4813 4 ปีที่แล้ว

    I'm getting an error saying "unknown module type" and error during elaboration during compilation time.

    • @monishmeher3901
      @monishmeher3901 3 ปีที่แล้ว

      yeah I had the same problem, feel you bud

  • @abdulkhaleqsabeeh2128
    @abdulkhaleqsabeeh2128 7 หลายเดือนก่อน

    i cant find verilog in the explorer

  • @Karga4430
    @Karga4430 3 ปีที่แล้ว

    this command: iverilog -o hello_tb.vvp hello_tb.v
    gives this in the next line:
    No top level modules, and no -s option.
    HELP

    • @emilyzhang3589
      @emilyzhang3589 3 ปีที่แล้ว

      maybe try to declare your hello_tb.v path in the command

  • @aviroxi
    @aviroxi 3 ปีที่แล้ว

    thank you so much

  • @Ochin2011
    @Ochin2011 4 ปีที่แล้ว +4

    Hi Derek, when I use the command "iverilog -o hello_tb.vvp hello_tb.v" im getting an error "No such file or directory. No top level modules, and no -s option"

    • @ihanick
      @ihanick 4 ปีที่แล้ว

      Hi Ochin, The command you have used expects to find hello_tb.v and hello.v files to be in current directory. Use Set-Location -Path "put path to directory with .v files here" in PowerShell or cd "put path to directory with .v files here" in CMD.exe or OS X/Linux/BSD shells. VSCode opens workspace directory by default. In order to open a correct directory make a right-click on filename under "OPEN EDITORS" at left and select "Open in Terminal"

    • @Ochin2011
      @Ochin2011 4 ปีที่แล้ว

      @@ihanick thank you for your response. I am on Windows and I did use CMD.exe to put my path directory (cd ilverilog\bin\). I started off with even a simpler tutorial provided here www.referencedesigner.com/tutorials/verilog/verilog_02.php where we just have to compile "iverilog hello.v" (i have tried with and without -o). what I have noticed is that when I use "iverilog hello.v.txt" then only the "a.out" file is generated and I can then output ""Learning Verilog is easy with referencedesigner.com tutorial"". I'm very confused on how to fix this as I'm a newbie. I really appreciate your help

    • @ihanick
      @ihanick 4 ปีที่แล้ว

      @@Ochin2011 In order to use "iverilog" command you should put X:\Full Path\iverilog\bin\ to your %PATH%. -o filename instructs icarus to save vvp script to "filename". E.g. if you have installed icarus to C:\iverilog, add C:\iverilog\bin to path. alternatively you can run set PATH="%PATH%;C:\iverilog\bin\" after each cmd.exe restart and cd c:\hdl\lesson01 (assuming that you have hello.v and hello_tb.v in c:\hdl\lesson01 ). With windows 10 windows subsystem for linux you can also forget about all this program installation hell and install icarus with sudo apt install iverilog. and of course switch to right directory with your hello_tb.v with cd.

    • @sanikachepe8489
      @sanikachepe8489 3 ปีที่แล้ว

      Hi, make sure you wrote the program correctly.. I had written input instead of output for B, in the hello.v file which was causing this error for me. Hope this helps :)

  • @shandeeparya1618
    @shandeeparya1618 4 ปีที่แล้ว

    Can we able to work on Verilog pli in Icarus ?

  • @revvisionvlogs
    @revvisionvlogs 4 ปีที่แล้ว

    Thanks!

  • @nefertiti1456
    @nefertiti1456 3 ปีที่แล้ว

    hello I've got an error saying "hello_tb.vvp: Unable to open input file." How can I solve it? Please help :(

    • @smartoikra4315
      @smartoikra4315 3 ปีที่แล้ว

      probably the file wasn't present in bin folder

    • @rsubrahmanyam555
      @rsubrahmanyam555 3 ปีที่แล้ว +1

      I had given command
      iverilog -o hello_tb.vpp hello_tb.v
      Instead of
      iverilog -o hello_tb.vvp hello_tb.v
      After giving correct command the issue is resolved. Hope this might help you

  • @jasperjohnjaso2720
    @jasperjohnjaso2720 3 ปีที่แล้ว +2

    hello_tb.v:1: syntax error
    I give up
    I end up with this

    • @yelhaus
      @yelhaus  3 ปีที่แล้ว +2

      in your testbench, be sure to use apostrophe (`) not single quote (') for the timescale and include statements. This gets a lot of people the first time.

    • @dailydose2019
      @dailydose2019 3 ปีที่แล้ว

      @@yelhaus still getting same error :/

    • @mushyomens6885
      @mushyomens6885 2 ปีที่แล้ว

      same error

  • @neeleshranjan7827
    @neeleshranjan7827 2 ปีที่แล้ว

    thank you

  • @Bhuvan_cv
    @Bhuvan_cv ปีที่แล้ว

    My vcd file is not opening please help

  • @aeroldmarougalela1605
    @aeroldmarougalela1605 2 ปีที่แล้ว

    it didn't work on my laptop.

  • @lauram.6285
    @lauram.6285 3 ปีที่แล้ว

    Thank you very much!

  • @devegna_kanzariya
    @devegna_kanzariya วันที่ผ่านมา

    Time 11:11

  • @bharaths2646
    @bharaths2646 ปีที่แล้ว

    man u left one basic thing to explain that is how setup a verilog extension

  • @chris_yt4115
    @chris_yt4115 3 ปีที่แล้ว

    I get this error even tho I installed Verilog correctly following each step in the video
    iverolog : The term 'iverolog' is not recognized as the name of a cmdlet, function, script file, or operable program. Check the spelling of the
    name, or if a path was included, verify that the path is correct and try again.
    At line:1 char:1
    + iverolog -o
    + ~~~~~~~~
    + CategoryInfo : ObjectNotFound: (iverolog:String) [], CommandNotFoundException
    + FullyQualifiedErrorId : CommandNotFoundException

    • @tarunjangir8460
      @tarunjangir8460 3 ปีที่แล้ว

      I am facing the same issue. Did you get any solution?
      PS, i tried editing environment variables

    • @zhengliew169
      @zhengliew169 2 ปีที่แล้ว

      @@tarunjangir8460 bro how to edit environment variables?

  • @francis.joseph
    @francis.joseph 4 ปีที่แล้ว

    what is the use of this of we have modesim

    • @yelhaus
      @yelhaus  3 ปีที่แล้ว

      Modelsim is proprietary whereas Icarus Verilog + GTKWave is Open Source.

  • @someone-gl7oy
    @someone-gl7oy ปีที่แล้ว

    Marshall from How I Met Your Mother

  • @stubborn176
    @stubborn176 3 หลายเดือนก่อน

    "WORKING" tutorial.

  • @abdulpangandaman
    @abdulpangandaman 3 ปีที่แล้ว

    How come you only have a very few subscriber. You are underrated man! I wish you'll keep going. Btw, thank you, just done watching the first episode. I'm a computer engineer and I sense that it is a worth watching series. :)