whyRD
whyRD
  • 203
  • 1 281 559
Verilog in Action | Practical Application of FSM to Model Digital Circuits
To join our Part 2 of Verilog Practice: www.whyrd.in/s/store
Today's video resources:
All course links: whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiasts-in-nptel-july-2024-semester
To personally connect with me, follow me on :
LinkedIn- www.linkedin.com/in/rajdeep-mazumder
Instagram- rajdeep.jgd
________________________________________
Watch Next:
VLSI interview prep series: th-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html
VLSI Podcast: th-cam.com/play/PL0E9jhuDlj9pHvtZ0ukqixrvHH60cagnw.html
VLSIgayan: th-cam.com/play/PL0E9jhuDlj9p-Hy38LUXEtM87OMBuz06l.html
Start a VLSI project: th-cam.com/video/OXbWBfvZxEI/w-d-xo.html
Verilog roadMap: th-cam.com/video/vRSY6S03EFg/w-d-xo.html
#whyrd #vlsi #verilog
Disclaimer: The following video and its contents are presented for informational purposes only. The author of this video has made every effort to provide accurate and up-to-date information based on their best knowledge and research available at the time of recording. However, the author cannot guarantee the absolute accuracy, completeness, or timeliness of the information presented.
it is important to note that the views, opinions, and interpretations expressed in this video solely belong to the author and do not necessarily reflect the views of their employer or any other organization with which they may be affiliated.
Viewers are reminded to exercise their own critical thinking and judgment when consuming the information presented in this video. The author , shall not be held responsible for any losses, damages, or consequences arising from the use or misuse of the information contained herein.
มุมมอง: 1 672

วีดีโอ

NPTEL JULY2024
มุมมอง 9K2 หลายเดือนก่อน
To join our Part 2 of Verilog Practice: www.whyrd.in/s/store Today's video resources: All course links: whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiasts-in-nptel-july-2024-semester To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: th-cam.com/play/PL0E9jhuDlj9qdn1jjEbrME...
One commonly asked Verilog Interview Question | VLSI Puzzle | Solve with me | HDLbits
มุมมอง 2.8K6 หลายเดือนก่อน
To join our Part 2 of Verilog Practice : www.whyrd.in/s/store Day31: www.whyrd.in/s/store Today's video resources: HDLbits : hdlbits.01xz.net/wiki/Main_Page To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: th-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: ...
My Story: How I Switched from a Software Engineer role to an Electronics Core VLSI job
มุมมอง 5K6 หลายเดือนก่อน
Visit : Gate : www.rlcgate.com/ My website : www.whyrd.in/ To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: th-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: th-cam.com/play/PL0E9jhuDlj9pHvtZ0ukqixrvHH60cagnw.html VLSIgayan: th-cam.com/play/PL0E9jhuDlj9p-H...
#VLSI_Clips: One of the Best way to For ECE BTech VLSI Aspirants
มุมมอง 3.6K8 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store A Bachelor's degree in Engineering (BTech) is enough to kickstart your career in VLSI Core. Follow Ajay here: its_ajaygupta1 To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series : th-cam.com/play/PL0E9jhuDlj9qdn1jjE...
Best VLSI & AI courses available in NPTEL JANUARY 2024 semester
มุมมอง 8K8 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store All course links : whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiast-in-nptel-jan-2024-semister To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: th-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: th-ca...
8 Action Point to be Market Ready in 2024 | Semiconductor Industry | VLSI |Core Electronics
มุมมอง 12K8 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store For VLSI Aspirants, what is the best action point for 2024? Resources from this video : Visit My website: www.whyrd.in esim : esim.fossee.in/ Fossee: fossee.in/events/past conference locate : www.clocate.com/getresults.php?mod=0&event=vlsi&co=IN&xcs=xs-493 conference alert : www.clocate.com/getresults.php?mod=0&event=vlsi&co=IN&xcs=xs-493 Semic...
These 4 Book is Enough for Best ever 2024 | whyRD
มุมมอง 1.4K8 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store The Best 4 non-technical Books for Engineers! Books links Looking Inward: amzn.to/3v9s8c6 Automatic Habits: amzn.to/3TnTOnS Deep Work: amzn.to/3uX0I9k Courage to be disliked: amzn.to/4anvs3w To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI intervie...
VLSI Engineers Work Culture | 70 Hours Work Week is Feasible | Time Management
มุมมอง 3K9 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store Are you using your time perfectly? How do I Manage my time? To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: th-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: th-cam.com/play/PL0E9jhuDlj9pHvtZ0ukqixrvHH60c...
What is AI ? | Tech Term Simplify | Explained to Any One |
มุมมอง 3649 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store Will our circuit knowledge help us to master AI Technology? All Resources mentioned in video : whyrd.graphy.com/products/Free-Resources-for-AI Neuromorphic Quantum-Com-654f575f80b6a16838882870?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-maz...
Best VLSI courses available in NPTEL JANUARY 2024 semester (PART1)
มุมมอง 10K9 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store All Resources in this video : whyrd.graphy.com/products/Electronics-Engineer-opportunities-novdec2023-655222269615bd3ab346f1ad?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interv...
VLSI Workshop | Robotics Challenge | Multiple Free online Courses for VLSI & AI
มุมมอง 5K10 หลายเดือนก่อน
Learn Verilog with Practice : www.whyrd.in/s/store All Resources in this video : whyrd.graphy.com/products/Electronics-Engineer-opportunities-novdec2023-655222269615bd3ab346f1ad?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interv...
Future of ELECTRONICS Engineers | AI, Neuromorphic & Quantum Computing EXPLAINED as VLSI Engineer
มุมมอง 3.5K10 หลายเดือนก่อน
Future of ELECTRONICS Engineers | AI, Neuromorphic & Quantum Computing EXPLAINED as VLSI Engineer
Must Do for BTech Student #vlsi #shorts
มุมมอง 94210 หลายเดือนก่อน
Must Do for BTech Student #vlsi #shorts
As an ECE BTech Student, how to be on the VLSI track | VLSI Podcast with whyRD
มุมมอง 10K10 หลายเดือนก่อน
As an ECE BTech Student, how to be on the VLSI track | VLSI Podcast with whyRD
Common VLSI Interview Question | How to approach them | VLSI clock domain #1 #shorts #vlsi #whyrd
มุมมอง 68610 หลายเดือนก่อน
Common VLSI Interview Question | How to approach them | VLSI clock domain #1 #shorts #vlsi #whyrd
Fab-Less or Fab-Lab: Which One is the Best Fit? | whyRD, homes of Electronics Core
มุมมอง 2K11 หลายเดือนก่อน
Fab-Less or Fab-Lab: Which One is the Best Fit? | whyRD, homes of Electronics Core
Are Low CGPA Harmful ? College Grade Myths and Winning Strategies | Electronics Core Jobs
มุมมอง 2.9K11 หลายเดือนก่อน
Are Low CGPA Harmful ? College Grade Myths and Winning Strategies | Electronics Core Jobs
2's Complement | 30 Days of Verilog Coding | Day 30
มุมมอง 2.5K11 หลายเดือนก่อน
2's Complement | 30 Days of Verilog Coding | Day 30
Verilog codes from KMap | 30 Days of Verilog Coding | day 29
มุมมอง 1.4K11 หลายเดือนก่อน
Verilog codes from KMap | 30 Days of Verilog Coding | day 29
Bus Multiplexer Design | 30 days of VERILOG coding | Day 28
มุมมอง 1.4K11 หลายเดือนก่อน
Bus Multiplexer Design | 30 days of VERILOG coding | Day 28
Design controller for Thermostat | Verification | 30 Days of Verilog | Day 27
มุมมอง 1K11 หลายเดือนก่อน
Design controller for Thermostat | Verification | 30 Days of Verilog | Day 27
Ring or Vibrate | 30 Days of Verilog Coding | Day 26
มุมมอง 97211 หลายเดือนก่อน
Ring or Vibrate | 30 Days of Verilog Coding | Day 26
Verilog Module Instantiation & Routing | 30 Days of Verilog Coding | Day 25
มุมมอง 1.1K11 หลายเดือนก่อน
Verilog Module Instantiation & Routing | 30 Days of Verilog Coding | Day 25
Magic of K-Map | 30 Days of Verilog Coding | Day 24
มุมมอง 1.1K11 หลายเดือนก่อน
Magic of K-Map | 30 Days of Verilog Coding | Day 24
Digital Design using truth table | Let's Learn Verilog with Real-time Practice with Me | Day 23
มุมมอง 1.4K11 หลายเดือนก่อน
Digital Design using truth table | Let's Learn Verilog with Real-time Practice with Me | Day 23
Application of Verilog Generate Block | Lets Learn Verilog with real-time Practice with Me | Day 22
มุมมอง 1.9K11 หลายเดือนก่อน
Application of Verilog Generate Block | Lets Learn Verilog with real-time Practice with Me | Day 22
Count no of 1 | Lets Learn Verilog with real-time Practice with Me | Day 21
มุมมอง 1.8K11 หลายเดือนก่อน
Count no of 1 | Lets Learn Verilog with real-time Practice with Me | Day 21
Verilog For loop : can we synthesis it ? Day 20
มุมมอง 2.2K11 หลายเดือนก่อน
Verilog For loop : can we synthesis it ? Day 20
Reduction Operator | Lets Learn Verilog with real-time Practice with Me | Day 19
มุมมอง 1.5K11 หลายเดือนก่อน
Reduction Operator | Lets Learn Verilog with real-time Practice with Me | Day 19