HDMI Video Pipeline Design Implementation on Zynq 7000 SoC (Pynq-Z1)

แชร์
ฝัง
  • เผยแพร่เมื่อ 29 ส.ค. 2024

ความคิดเห็น • 29

  • @nikilthapa6329
    @nikilthapa6329 3 ปีที่แล้ว +2

    Wow ! Great video. I followed your video and my pipeline design is now working. Thank you very much. Keep it up.

    • @RaviKiran-ey6fw
      @RaviKiran-ey6fw 6 หลายเดือนก่อน

      Hi, i generated bitstream file but .ltx file is not generated to update in debug probes. Can u resolve this issue?
      Thanks

  • @riasaha772
    @riasaha772 2 ปีที่แล้ว +3

    Can you demonstrate, some image processing on input frame!

  • @riasaha772
    @riasaha772 2 ปีที่แล้ว +1

    Hay can you make next video on getting the pixel matrix in between dvi_in and dvi_out IPs, so that some constant text e.g., Nielfotech, can be overlayed on the video!

    • @nielfotech4684
      @nielfotech4684  ปีที่แล้ว

      Stay tunned! I will make another video for it. Thanks for your support.

  • @livwsi
    @livwsi ปีที่แล้ว +1

    hello, I tried your design on my PYNQ-Z2 but it didn't work, I did changed the constraints code to the z2 I found on Github but still nothing showing on my scree, Any idea ?

    • @nikilthapa6329
      @nikilthapa6329 ปีที่แล้ว

      Let’s use ILA in the pipeline to debug

  • @fabiancastano6233
    @fabiancastano6233 ปีที่แล้ว

    Hello, I tried to implement your design on my PYNQ-Z1, using Vivado 2022, and the last version of Digilent Vivado Library, but it doesn't show anything in the output, my pc reconized the connection but my SAMSUNG HDMI display monitor dosn't recognize the connection and didn't show the image, do you have any idea which is the issue?,

    • @nielfotech4684
      @nielfotech4684  ปีที่แล้ว

      Hi, you can use ILA to check whether is pipeline is functional or not. This is the best to find out the issue. Especially, check the “locked” status.

  • @aminemouaz5822
    @aminemouaz5822 2 ปีที่แล้ว +2

    Nice video .
    could you help me to get the frames from the video an edit them?

    • @nielfotech4684
      @nielfotech4684  ปีที่แล้ว +1

      I will make a separate video. Stay tuned.

    • @JrSflor
      @JrSflor ปีที่แล้ว +1

      ​@@nielfotech4684 when you will make a vídeo doing the same but using vdma?

    • @nielfotech4684
      @nielfotech4684  ปีที่แล้ว +2

      Thanks for your interest. I will be publish similar design in coming weeks that will cover frame processing.

    • @JrSflor
      @JrSflor ปีที่แล้ว

      @@nielfotech4684 great. I will waiting for it.

  • @tonyho6986
    @tonyho6986 2 ปีที่แล้ว

    I use Pynq-Z2 but the HDMI output with noise.
    I had fixed it by un-comment this line in *.xdc file - "create_clock -period 8.334 -waveform {0.000 4.167} [get_ports hdmi_in_clk_p]"
    in the video 8:06 , your xdc file as "#create_clock -period 8.334 -waveform {0.000 4.167} [get_ports hdmi_in_clk_p]", you comment it out.
    I don't know what happened. Why I need add it in my Pynq-Z2 board?

    • @aminemouaz5822
      @aminemouaz5822 2 ปีที่แล้ว

      hi i followed this tutorial step by step when i finished flashing my pynq Z2 Board i got only black screen can you help me??
      @ Tony Ho
      @ Nielfotech

    • @edouardlagesse3940
      @edouardlagesse3940 ปีที่แล้ว

      @@aminemouaz5822 hi,
      I have the same problem and I tried to fix it for a long time now, but still have only a black screen. have you succeeded by any chance?

    • @nielfotech4684
      @nielfotech4684  ปีที่แล้ว

      @Amine Mouaz @edouard lagesse
      What kind of issue are you facing? Could you give me some details?

    • @nielfotech4684
      @nielfotech4684  ปีที่แล้ว

      @Tony Ho!
      That constraint was written to create a clock with the specified clock period. This is not compulsory until you need greater control over the clock signal to reduce the slack value.

  • @SandeepKodamMEE
    @SandeepKodamMEE 2 ปีที่แล้ว +1

    unable to make external pin connections in Vivado 2017.4 for TMDS signal

    • @aminemouaz5822
      @aminemouaz5822 2 ปีที่แล้ว +1

      Try to find another ip (newer ) dvi to rgb

    • @anubrataroy4065
      @anubrataroy4065 2 ปีที่แล้ว +1

      add TMDS interface in the ip repo from digilent vivado github master

    • @nielfotech4684
      @nielfotech4684  ปีที่แล้ว

      @Sandeep Kodam (M21EE060)
      Adding TMDS interface, as replied by @Abubrata Roy, will solve your issue.

  • @user-fd9my2db1x
    @user-fd9my2db1x 9 หลายเดือนก่อน +1

    Hello,Can you help us to implement this in Nexys video Artix-7 FPGA board

    • @nielfotech4684
      @nielfotech4684  9 หลายเดือนก่อน

      Yes

    • @user-fd9my2db1x
      @user-fd9my2db1x 9 หลายเดือนก่อน

      Can we use the same set of IPs for Nexys Video board?What are the changes to be made if so? @@nielfotech4684

  • @colinm6672
    @colinm6672 2 ปีที่แล้ว +1

    Hi programming

  • @Samet-qv9yf
    @Samet-qv9yf 5 หลายเดือนก่อน

    Hi, can u please share your mail address? i have few questions. im planning to do a hdmi video pipeline project.