How to use vivado for Beginners | Verilog code | Testbench | Schematic View

แชร์
ฝัง
  • เผยแพร่เมื่อ 18 ม.ค. 2021
  • Hi friend
    in this video you will able to leran how to use Vivado ,you can learn writing module and testbench. do simulation verify the module,view schematic etc
    for more video like this watch below
    👉verilog code for binary to gray converter with testbench and viceversa in Questasim
    • verilog code for binar...
    👉verilog code for exor gate using nand gate | Structural Modelling style in questasim
    • verilog code for exor ...
    👉Free online Verilog Simulator | EDA PLAYGROUND
    • Free online Verilog Si...
    👉How to use Questasim for Beginners | Schematic View | TestBench
    • How to use Questasim f...
    #plz_subscribe_my_channel #verilog
    what is vivado,how do i run vivado,vivado, vivado tutorial, vivado tutorial,

ความคิดเห็น • 85

  • @balajis5170
    @balajis5170 ปีที่แล้ว +5

    great video covering all basics

  • @racram0444
    @racram0444 11 หลายเดือนก่อน +2

    Thank you for this :)

  • @moviesera5250
    @moviesera5250 3 ปีที่แล้ว +3

    Thanks my brother becoz of u i understood clear picture of using vivado I'm ur new subscriber

    • @anandrajofficial1
      @anandrajofficial1  3 ปีที่แล้ว +1

      I am really very happy to hear this.
      Thank you for comment.
      Happy learning.

    • @moviesera5250
      @moviesera5250 3 ปีที่แล้ว

      Bro I had some doubts in structural modelling can I get a video on it

    • @anandrajofficial1
      @anandrajofficial1  3 ปีที่แล้ว

      @@moviesera5250 th-cam.com/video/6TS8dozKXBs/w-d-xo.html
      This xnor gate designed using nand gate in structural modelling design style.
      If u didn't understand plz do comment.

  • @Jhon0034
    @Jhon0034 2 ปีที่แล้ว +3

    ty for this job

  • @kabandajamir9844
    @kabandajamir9844 ปีที่แล้ว +2

    So nice thanks crystal clear illustrations thanks

  • @electroveda
    @electroveda ปีที่แล้ว +2

    Thanks

  • @mdfaizan1887
    @mdfaizan1887 ปีที่แล้ว +1

    Nice video ! this helped me a lot

  • @zizo8737
    @zizo8737 2 ปีที่แล้ว +2

    Brilliant

  • @mangapathiraju7198
    @mangapathiraju7198 3 ปีที่แล้ว +1

    very nice...

  • @ylakshmichandra9181
    @ylakshmichandra9181 6 หลายเดือนก่อน +1

    I have a "Xilinx ZYNQ XC7Z010" board, sir. I'm new to Verilog; which board should I choose under Boards in the software? I'm using the Vivado 2021.2 programme.

    • @anandrajofficial1
      @anandrajofficial1  6 หลายเดือนก่อน

      During project creation it will give you option to select parts and board , select board and search for your FPGA board or after selecting parts you have to search for xc7z010. You will get it

  • @user-ly2gg7si4x
    @user-ly2gg7si4x 2 ปีที่แล้ว +1

    thank you so much, I have a one question what is the purpose of clock ?

    • @anandrajofficial1
      @anandrajofficial1  2 ปีที่แล้ว +1

      Cloçk in digital circuit used to synchronize the element so that it can work properly.

  • @shanmukharaobudumuru4471
    @shanmukharaobudumuru4471 2 ปีที่แล้ว

    Sir If we want to display something in xilinix using verilog code ( like just writing hello world ) where can we see the display output in xilinix

  • @caleb7799
    @caleb7799 10 หลายเดือนก่อน

    Thanks for the easy to follow tutorial!

  • @VinayKumar-vi8bn
    @VinayKumar-vi8bn 2 ปีที่แล้ว

    While adding source vivado stucking no matter what the time taking, how to add source pls give a solution

  • @waelnour7147
    @waelnour7147 ปีที่แล้ว

    لو سمحت كلمة reg تظهر كلمة عادية وليست ملونة بالاحمر او الأزرق ؟!

  • @anandrajofficial1
    @anandrajofficial1  2 ปีที่แล้ว +6

    thank you all for love❤ ,keep supporting and keep liking & subscribing🙏.

    • @ArjunNarula1122
      @ArjunNarula1122 2 ปีที่แล้ว +1

      th-cam.com/video/pTk1H50e8bI/w-d-xo.html

  • @rsf2671
    @rsf2671 ปีที่แล้ว

    Sir one verilog code for register.

  • @DAEC_ShreyaS
    @DAEC_ShreyaS ปีที่แล้ว +1

    While runing simulation in test bench I will get as invalid top module wt shld i do

  • @monsoonmallick9333
    @monsoonmallick9333 ปีที่แล้ว +1

    Which edition of vivado is used ??

    • @anandrajofficial1
      @anandrajofficial1  ปีที่แล้ว

      May be 2017 but it is the same for all versions

  • @valobhediya
    @valobhediya 3 ปีที่แล้ว +1

    Can you please give me link to download this Xilinx Vivado please?

    • @anandrajofficial1
      @anandrajofficial1  3 ปีที่แล้ว +1

      www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/archive.html

  • @lambroshalatsis6075
    @lambroshalatsis6075 ปีที่แล้ว +1

    When I press schematic it opens a package window and a Device window and not a schematic window what do I do ?

    • @anandrajofficial1
      @anandrajofficial1  ปีที่แล้ว

      it does not happened with me so i exactly can't say anything what is issues,plz search in google.you may find solution.

  • @yashviya3698
    @yashviya3698 ปีที่แล้ว +1

    Sirrrr....I want link to download it....can u plss give...tommorow is my practical exam

    • @anandrajofficial1
      @anandrajofficial1  ปีที่แล้ว

      www.xilinx.com/support/download.html
      go to this link , select the version and then click on
      Xilinx Unified Installer 2022.2: Windows Self Extracting Web Installer . create account and then download

  • @riyazbajishaik1596
    @riyazbajishaik1596 7 หลายเดือนก่อน +1

    Thanks for the video sir.
    Sir, When I run the simulation it is taking forever.
    It is basically stuck in run. Do you have any idea about this?

    • @anandrajofficial1
      @anandrajofficial1  7 หลายเดือนก่อน

      In test bench plz give $finish();
      Before endmodule

    • @riyazbajishaik1596
      @riyazbajishaik1596 7 หลายเดือนก่อน +1

      @@anandrajofficial1
      Sir, Thanks for the quick reply.
      I did not include the test bench code.
      I was thinking of giving inputs through the available Force constant option after running the simulation.

    • @anandrajofficial1
      @anandrajofficial1  7 หลายเดือนก่อน

      @@riyazbajishaik1596 then i think you have to stop simulation intentionally

  • @nagasreevardhansharma722
    @nagasreevardhansharma722 ปีที่แล้ว +1

    Sir is it applicable to 2022 version??
    Please 🙏 reply

  • @rookiegamer4657
    @rookiegamer4657 10 หลายเดือนก่อน +1

    how to change font size in vivado text editor

    • @anandrajofficial1
      @anandrajofficial1  10 หลายเดือนก่อน

      Go to Tools->Settings then popup will come.in left side Tool Settings option will be there. In Tool Settings->Text Editor then expand text editor ->Fonts and colors then see right left size option is there. Then as per your comfort increase size.
      In short
      Tools->Settings->Text Editor->Fonts and colors
      Then check top right side size option

  • @menoxes1626
    @menoxes1626 10 หลายเดือนก่อน +1

    does #10 mean wait for 10ns??

    • @anandrajofficial1
      @anandrajofficial1  10 หลายเดือนก่อน

      No it's not wait time, it's like first test input will be generated at 0 time and will continue till next time, now as it 10 hence previous test vector will be till 10ns and continue till next time

  • @anjalin7935
    @anjalin7935 2 ปีที่แล้ว +1

    Hello it's taking too long to a create new project, is there any way I can fix it?? Kindly let me know if any..

    • @anandrajofficial1
      @anandrajofficial1  2 ปีที่แล้ว +1

      Due to less RAM In pc,same happened with my pc too,.
      How much RAM ur pc have?
      If you want to do simulation only ,you can try with edaplayground.

    • @anjalin7935
      @anjalin7935 2 ปีที่แล้ว +1

      @@anandrajofficial1 PC RAM is 8gb, actaully I needed to work on a project and I used to do small works on Icarus but I'll try once on edaplayground. Thank you!!

    • @anandrajofficial1
      @anandrajofficial1  2 ปีที่แล้ว +1

      @@anjalin7935then it should work fine.for project vivado will be good.i don't know why it is slow in ur system.

  • @mihiram592
    @mihiram592 2 ปีที่แล้ว +1

    Critical error message during simulation

    • @anandrajofficial1
      @anandrajofficial1  2 ปีที่แล้ว

      I am not familiar with this error please search in google you may get soln

  • @debabratobanik2103
    @debabratobanik2103 3 ปีที่แล้ว +1

    Which simulator is best and free for beginners for verilog hdl ??

    • @anandrajofficial1
      @anandrajofficial1  3 ปีที่แล้ว

      Eda simulator and iverilog in my knowledge

    • @siddharthst2712
      @siddharthst2712 2 ปีที่แล้ว

      u can use intel quartus prime lite with modelsim intel fpga starter edition , both of which are free

  • @kushalgourgonda3890
    @kushalgourgonda3890 6 วันที่ผ่านมา

    Hiii sir please u can send me link 💕

  • @Nithish2604
    @Nithish2604 6 หลายเดือนก่อน +1

    Sir after installing this , how much of space it occupied overall? I have only 80gb free disk space , can i download or not😢

    • @anandrajofficial1
      @anandrajofficial1  6 หลายเดือนก่อน +1

      if you want to only simulate on device like zedboard or then 55-60gb but if u want to go for different series like ultrascale and plus then 75gb

    • @Nithish2604
      @Nithish2604 6 หลายเดือนก่อน +1

      @@anandrajofficial1 it's just to learn the vivado tool recommended by my college is there any alternative tool to learn verilog with less memory is available? Pls show me some way!

    • @anandrajofficial1
      @anandrajofficial1  6 หลายเดือนก่อน +1

      @@Nithish2604 try vivado with lower version like 2017 below , and during installation don't select ultrascale , ultrascale plus and SoC device to reduce memory

    • @Nithish2604
      @Nithish2604 6 หลายเดือนก่อน +1

      @@anandrajofficial1 ok sir thanks for replying

  • @pavanm6078
    @pavanm6078 ปีที่แล้ว +1

    how can I change the font size here???

  • @TravelandAdventure496
    @TravelandAdventure496 3 หลายเดือนก่อน +1

    link please

    • @anandrajofficial1
      @anandrajofficial1  3 หลายเดือนก่อน

      Already somewhere in the comments

  • @narsireddy_1
    @narsireddy_1 3 หลายเดือนก่อน +1

    Link sir

    • @anandrajofficial1
      @anandrajofficial1  3 หลายเดือนก่อน

      www.xilinx.com/support/download.html

  • @maryamwaseem8633
    @maryamwaseem8633 7 หลายเดือนก่อน +1

    Version kon sa hai? 14.7 ya 14.2

    • @anandrajofficial1
      @anandrajofficial1  7 หลายเดือนก่อน

      2017.4

    • @maryamwaseem8633
      @maryamwaseem8633 7 หลายเดือนก่อน

      Mtlb

    • @maryamwaseem8633
      @maryamwaseem8633 7 หลายเดือนก่อน +1

      Istra btay 14.7 ya 14.2

    • @anandrajofficial1
      @anandrajofficial1  7 หลายเดือนก่อน

      @@maryamwaseem8633 17.4
      www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/archive.html

    • @maryamwaseem8633
      @maryamwaseem8633 7 หลายเดือนก่อน +1

      Ya xilinx hi hai ?

  • @ArjunNarula1122
    @ArjunNarula1122 2 ปีที่แล้ว +3

    Verilog projects playlist
    th-cam.com/play/PLUn6cqainH8jZxS3ppSGPi3rNScz9cFZf.html