VHDL - Components et Port map

แชร์
ฝัง
  • เผยแพร่เมื่อ 13 ก.ย. 2024
  • Que sont les components en VHDL.
    Utilisations des components en VHDL.
    Règles d'utilisation des components en VHDL.
    Exemple de programme avec component (test bench).

ความคิดเห็น • 2

  • @mohamedbenouda9526
    @mohamedbenouda9526 ปีที่แล้ว +1

    Merci beaucoup pour l'explication. Pourriez-vous ajouter la fonction et la procedure

    • @engineering_life9550
      @engineering_life9550  ปีที่แล้ว

      Bonjour, je vais essayer de la faire ASAP. En attendant, vous pouvez vous renseigner ici : vhdlguide.readthedocs.io/en/latest/vhdl/package.html#