Robert Swan
Robert Swan
  • 2
  • 81 694
Xilinx ILA Demo using Vivado 2020, Vitis, and Avnet Minized rev1
Walk through of developing a Zynq based design using ILA to monitor the output of an 8 bit counter.
มุมมอง: 8 854

วีดีโอ

Hello world video using Xilinx Zynq, Vivado 2020, and Vitis
มุมมอง 73K4 ปีที่แล้ว
Walk through of creation of Hello World using Avnet minized board, Xilinx Zynq, Vivado 2020, and Vitis.

ความคิดเห็น

  • @chummyelec
    @chummyelec 3 หลายเดือนก่อน

    I have a question re: minute 20:00. You have got your board plugged in... How is it plugged on? How is the Hello World app downloaded to the board? Over USB/UART? Over Ethernet, where the so called agent on the remote board grabs the files sent and places them in a specific location and runs the app? Over JTAG interface? Cheers

  • @EngineerAnandu
    @EngineerAnandu 4 หลายเดือนก่อน

    Please upload more vids

  • @angelg3986
    @angelg3986 7 หลายเดือนก่อน

    good video, but it doesn't tell about licensing - I changed the chip to Kintex UltraScale XCKU15P and it says I don't have license for HLS synthesis

  • @MohdRizwanEC
    @MohdRizwanEC 8 หลายเดือนก่อน

    Is it work with picorv32 with some interconnect and peripherals ?

  • @skabdulhaibasha3263
    @skabdulhaibasha3263 8 หลายเดือนก่อน

    I want to recive gps data through uart on zed board. how to do that?

  • @hiteshb5606
    @hiteshb5606 ปีที่แล้ว

    What to do if I want to monitor axi signals?

  • @xEcko6
    @xEcko6 ปีที่แล้ว

    When attempting to Validate the Design, I keep getting the error ' [BD 41-758] The following clock pins are not connected to a valid clock source: /processing_system7_0/M_AXI_GP0_ACLK'. Any ideas?

    • @xEcko6
      @xEcko6 ปีที่แล้ว

      In case anyone was wondering, if you have an 'M_AXI_GP0_ACLK' input pin, you need to connect that to the 'FCLK_CLK0' and that solves all your problems.

    • @kushalpkoundinya4752
      @kushalpkoundinya4752 13 วันที่ผ่านมา

      Same error any solution??

  • @angali7578
    @angali7578 ปีที่แล้ว

    Hi, what's your gmail account? I wanna contact you. Thanks

  • @nikolaykostishen6402
    @nikolaykostishen6402 ปีที่แล้ว

    Thanks!

  • @cletuswa
    @cletuswa ปีที่แล้ว

    FYI this video was copied by another channel, and only the copyright owner can report them: th-cam.com/video/7aRxSqfnExU/w-d-xo.html

    • @robertswan8546
      @robertswan8546 ปีที่แล้ว

      thank you. I just reported it.

  • @mbuaesenju8514
    @mbuaesenju8514 ปีที่แล้ว

    Very helpful. Thank you.

  • @86Carrera911
    @86Carrera911 ปีที่แล้ว

    Thanks so much for making this!!! I'm a complete n00b, but you got me started.

  • @andreigeorge8493
    @andreigeorge8493 ปีที่แล้ว

    hello, thank you for the tutorial! i keep having a problem when trying to create the hello world application. when i select the "Hello World" example, i get the following message: "This application requires a Uart IP in the hardware." i am using zybo 7z010 board. what am i doing wrong? should i add at the beginning a UART ip core in vivado block diagram or something like that?

  • @Sandeep-tc1yv
    @Sandeep-tc1yv ปีที่แล้ว

    Thankyou very much, this video was extremely helpful.

  • @no5x937
    @no5x937 ปีที่แล้ว

    @15:08 you Browsed your project folder for your design_1_wrapper.xsa file and it successfully opened with Operating System: standalone and Processor: ps7_cortexa9_0. Well, when I selected my design_1_wrapper.xsa file it took a while BUT both the Operating System and Processor were greyed out and blank. It would not let me continue. So, can I select a default XSA and which one? Or do I need to go back and fix something? Note: since my free Vivado 2021.2 ML Version failed with the MiniZed board I had to substitute the Zybo Z7-20 (xc7z020clg400-1) board, Report IP status, Upgrade Selected, Generate the Output products which worked, Run Synthesis, Implementation, and Generate Bitstream successfully, Export the Bitstream file to Target HW, selected Zybo Z7-20's XSA file created in my project folder, Exported HW Platform. Then I opened Vitis Tools > Launch Vitis IDE > Create New Platform > hello_world_platform > XSA File: design_1_wrapper.xsa > Open > Reading HW specification. Software specification: Operating System: <blank> greyed out Processor: <blank> greyed out Aby suggestions on how to remedy this problem? After further investigation, it appears you skipped a few steps in Synthesis because you did not use a MiniZed board Constraints file and did not set the I/O pins for this design application. So, go the following critical warnings: General Messages [Common 17-55] 'set_property' expects at least one object. ["c:/Users/..../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":33] [Vivado 12-1411] Cannot set LOC property of ports, Could not legally place instance design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF at E16 (IOPAD_X1Y108) since it belongs to a shape containing instance design_1_i/processing_system7_0/inst/PS7_i. The shape requires relative placement between design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF and design_1_i/processing_system7_0/inst/PS7_i that can not be honoured because it would result in an invalid location for design_1_i/processing_system7_0/inst/PS7_i. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":188] Design Initialization [Common 17-55] 'set_property' expects at least one object. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":33] [Vivado 12-1411] Cannot set LOC property of ports, Could not legally place instance design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF at E16 (IOPAD_X1Y108) since it belongs to a shape containing instance design_1_i/processing_system7_0/inst/PS7_i. The shape requires relative placement between design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF and design_1_i/processing_system7_0/inst/PS7_i that can not be honoured because it would result in an invalid location for design_1_i/processing_system7_0/inst/PS7_i. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":188] So, do you have a recommended I/O Ports for the following this applications (86) signals? Thanks, JT

  • @edgarmatzinger9742
    @edgarmatzinger9742 ปีที่แล้ว

    And if I don't want to use an IP? To just write VHDL and synthesize that (after providing a target chip of course)?

  • @Bwajster
    @Bwajster ปีที่แล้ว

    Does Vitis HLS v2022.1 support built-in HLS Functions such as hls::Threshold, hls::Erode, hls::Dilate, hls::Mul, hls::Duplicate, hls::MinMaxLoc, hls::CvtColor etc. ?

  • @mrfiedler7616
    @mrfiedler7616 2 ปีที่แล้ว

    Thank you for this very good demo

  • @estebangabrielcabanillas7582
    @estebangabrielcabanillas7582 2 ปีที่แล้ว

    Thanks for your video, very usefull! Do you know the reason for Xilinx to switch SDK yo Vitis? It seems pritty much the same thing...

  • @sehsamudra
    @sehsamudra 2 ปีที่แล้ว

    Very nice! Just what I wanted to read up this weekend.

  • @srdjanbabic8304
    @srdjanbabic8304 2 ปีที่แล้ว

    Thank you so much for this! I've had a look through at least 5 different tutorials until I saw yours and finally made my board do something!

  • @94raviteja
    @94raviteja 2 ปีที่แล้ว

    Great Tutorial. I Have some questions as I have recently purchased a KV260 board and am trying to port my code onto it. Do you have a discord where I can hit you up?

  • @totolovesunsun
    @totolovesunsun 2 ปีที่แล้ว

    It is very helpful. Are you able to put together a video to show us how to utilize the GigiEnthernet on the board the Zynq board and use the Ethernet board to transmit data to another computer? Thank you for your time!

  • @sevillajessahmae8109
    @sevillajessahmae8109 2 ปีที่แล้ว

    Hi. can I ask where did the M_AXI_GPO_ACLK go??

  • @user-ww2lc1yo9c
    @user-ww2lc1yo9c 2 ปีที่แล้ว

    You should have included a GPIO component in the tutorial so it would be even better!

  • @user-ww2lc1yo9c
    @user-ww2lc1yo9c 2 ปีที่แล้ว

    why are there 2 board support packages in the video?

  • @richardcai4921
    @richardcai4921 2 ปีที่แล้ว

    This is just the video I need to figure out simply how vivado and vitis cooperate. Thank you very much.

  • @michaelalex5235
    @michaelalex5235 2 ปีที่แล้ว

    This should be a template for all instructional videos that try to address complex tools like Vivado and Vitis. Xilinx has a lot to learn when it comes to making videos explaining their tools to beginners, IMO. Thank you very much.

    • @hoangnguyenvan5677
      @hoangnguyenvan5677 5 หลายเดือนก่อน

      hello can u help me something with vitis

  • @giancarlokuosmanen9723
    @giancarlokuosmanen9723 2 ปีที่แล้ว

    Cheers for your awesome tutorial!

  • @teeamoansbach3327
    @teeamoansbach3327 2 ปีที่แล้ว

    The sound is very low

  • @paparoach3025
    @paparoach3025 2 ปีที่แล้ว

    I’m using a Cora Z7 board and when I run the auto router in the block diagram it throws an error that the clk input is not connected to a valid source. The block diagram didn’t change the way yours did. Any idea what it could be?

  • @steveandamyalso
    @steveandamyalso 2 ปีที่แล้ว

    You keep referring to IP. Internet Protocol? Intellectual Property?

  • @vijaydattu709
    @vijaydattu709 2 ปีที่แล้ว

    Hello sir,thanks for the video,how to work with lwip tcp server client application vitis in qemu emulator?if possible please tell us the procedure.

  • @danielbowman7507
    @danielbowman7507 3 ปีที่แล้ว

    is there a tutorial to do this for linux platform, i keep getting a sd card error

  • @yrm1594
    @yrm1594 3 ปีที่แล้ว

    When it comes to actual hardware, how does C interact with the "hardware"? When I build something using vivado, where can I output/input 1/0 using C/Vitis?

  • @dadominicanstyl
    @dadominicanstyl 3 ปีที่แล้ว

    Robert great video tutorial, thanks. :)

  • @soulcatcher7854
    @soulcatcher7854 3 ปีที่แล้ว

    Why did you run VITIS here? It was not necessary to show the use of ILA.

    • @oliverpeters6944
      @oliverpeters6944 3 ปีที่แล้ว

      He uses the Zynq processing clock (FCLK_CLK0 and FCLK_CLK2) to drive the counter and the ILA. Therefore he has to start the Zynq processor to configure and start the clocks. This is done by running the debugger in Vitis.

  • @yonghongbai5023
    @yonghongbai5023 3 ปีที่แล้ว

    I am using Vivado 2020.02. But there are no Zynq when I want to Add IP. Who know why?

    • @nihadferhatovic5192
      @nihadferhatovic5192 3 ปีที่แล้ว

      Maybe you missed something in the installation process. I installed it two-three days ago and it works perfectly fine.

  • @kishoremulupuri113
    @kishoremulupuri113 3 ปีที่แล้ว

    Unable to create firmware project...

  • @lokeshprajapati6576
    @lokeshprajapati6576 3 ปีที่แล้ว

    First tutorial so far that I could follow all the way through and everything works. Thanks for the video!

  • @syedmraza99
    @syedmraza99 3 ปีที่แล้ว

    Much Appreciated!! Helped me with Udemy Course 'Learn Fundamentals of FPGA and VHDL Development; Lecture 70

  • @ayylien5934
    @ayylien5934 3 ปีที่แล้ว

    Thank you so much for this tutorial, I've been completely clueless on how am I supposed to work with it and had no idea where to start. This tutorial was an incredible starting point and I'm very grateful I stumbled upon it.

  • @nielspaulin2647
    @nielspaulin2647 3 ปีที่แล้ว

    Excellent!

  • @rildank5557
    @rildank5557 3 ปีที่แล้ว

    Hello, thanks for the video. Can you explain what are the differences between Xilinx SDK and Vitis ?

  • @emadmojaveri1404
    @emadmojaveri1404 3 ปีที่แล้ว

    quick and very informative, thanks mate

  • @mihirvaghela2185
    @mihirvaghela2185 3 ปีที่แล้ว

    I want to print hello world on third party simulator Xcelium which is available in vivado.. can you please tell me how to do that? Or can you provide me with any tutorial to do so?

  • @apprentice2101
    @apprentice2101 3 ปีที่แล้ว

    Thank you very much for the video! It's very helpful. I wish I could upvote your video more than once

  • @alexandrosanastasiou1964
    @alexandrosanastasiou1964 3 ปีที่แล้ว

    While connection to com4 is successful at this baud rate, the terminal prints nothing. Is there any suggestion?

    • @isidroy
      @isidroy 3 ปีที่แล้ว

      I had the same problem.. I closed Vitis, connected the board and open Vitis again. It worked for me.

    • @felipeferreira1960
      @felipeferreira1960 7 หลายเดือนก่อน

      @@isidroy , Did you manage to solve your problem? One question, did you use USB for debugging and another cable for USB-UART for UART communication on the terminal?

  • @alexandrosanastasiou1964
    @alexandrosanastasiou1964 3 ปีที่แล้ว

    Thank you so much!