SemiSlides
SemiSlides
  • 30
  • 91 379
[CMP Part2] CMP Mechanics (2 of 2)
Welcome to the exciting continuation of our enlightening CMP series, guided by me, your trusted host, Semi Sherpa, as we navigate the vast world of semiconductor technology.
In this series, we are diving deep into the intricacies of the Chemical Mechanical Planarization (CMP) process, exploring its critical role across five key episodes.
In the first episode, we introduced CMP and emphasized its importance in the silicon wafer fabrication process.
Now, in this second episode, we will take a closer look at the mechanics behind CMP, giving you a foundational understanding of how it all works. Future episodes will cover the mechanisms driving CMP, the consumables involved, and its applications in modern silicon devices.
In today's episode, we will focus on the core mechanics of CMP.
This episode is designed to provide beginners with the essential knowledge needed to understand the basics of the CMP process.
We've broken it down into four key segments:
First, we will look at how CMP tools for semiconductor devices have evolved from their early beginnings in silicon wafer production polishing equipment.
We will explore how these tools have developed through the first, second, and third generations to improve production throughput and process performance.
Next, we will dive into the CMP polisher module, focusing on the most advanced CMP polishers from Applied Materials.
Here, we will explain the basic mechanics of CMP, including why the platen and head rotate at the same speed and direction, the role of the retainer ring, and how multi-zone pressure is controlled on the wafer.
We will also cover other critical components, such as the pad conditioner, the groove patterns on the CMP pad, and the polishing platen.
In the third segment, we will move on to the CMP cleaner module, where we will explain the operation of cleaners like Megasonic cleaners, brush cleaners, and two-fluid jet cleaners. We will also introduce the Marangoni effect, which is important in the drying process.
Finally, we will explore the End Point Detector (EPD) and integrated metrology systems used to control the CMP process.
We will cover motor current EPD, optical EPD, and Eddy current EPD. Additionally, we will provide an introduction to Real-Time Profile Control (RTPC) and integrated metrology, which help improve in-wafer and wafer-to-wafer process consistency.
Throughout this episode, we have deliberately avoided complex equations to keep the explanations clear and accessible.
Our goal is to offer a comprehensive understanding of the CMP process, which is crucial for high-volume semiconductor manufacturing.
Thank you for joining us on this journey, and we look forward to guiding you further into the fascinating world of CMP.
Below are the main chapters of this video.
You can click on any timestamp to jump directly to the desired chapter.
1. CMP Equipment
2. Polishing Module
3. Cleaner Module
[00:05] CMP Cleaner Advancements: AMAT vs. Ebara
[02:00] Comprehensive Walkthrough of the CMP Cleaner Module in LK Systems
[05:45] The Role of Megasonic Cleaning in CMP Processes
[08:55] Brush Cleaning in CMP: Combining Mechanical and Chemical Power
[11:45] Enhancing Particle Removal with Non-Contact Cleaning (NCC) Technology
[14:00] Advanced Wafer Drying Methods: From IPA to Marangoni and Beyond
4. EPD & IM
[16:45] Ensuring Wafer-to-Wafer Uniformity with Advanced CMP EPD System
[20:20] Motor Current EPD: Ensuring Precision in CMP End Point Detection
[22:50] Optical EPD: Precision in Detecting CMP End Points
[25:20] Eddy Current EPD: Precision in Metal CMP End Point Detection
[27:40] Real-Time In-Wafer Profile Control with Real-Time Profile Control (RTPC)
[30:20] Integrated Metrology and APC: Enhancing CMP Process Control
มุมมอง: 55

วีดีโอ

[CMP Part2] CMP Mechanics (1 of 2)
มุมมอง 46121 วันที่ผ่านมา
Welcome to the exciting continuation of our enlightening CMP series, guided by me, your trusted host, Semi Sherpa, as we navigate the vast world of semiconductor technology. In this series, we are diving deep into the intricacies of the Chemical Mechanical Planarization (CMP) process, exploring its critical role across five key episodes. In the first episode, we introduced CMP and emphasized it...
[CMP Part1] CMP Introduction (2 of 2)
มุมมอง 6212 หลายเดือนก่อน
*Welcome to the Grand Opening of Our CMP Series, Guided by Semi Sherpa, Your Trusted Expert in the Semiconductor Cosmos* In this introductory episode, we aim to equip newcomers with essential knowledge about the Chemical Mechanical Polishing (CMP) process. Our episode is organized into four distinct segments, providing a comprehensive understanding of CMP: *1. The Importance of CMP in Modern Se...
[CMP Part1] CMP Introduction (1 of 2)
มุมมอง 7102 หลายเดือนก่อน
Welcome to the grand opening of our enlightening CMP series, guided by Semi Sherpa, your trusted expert through the vast semiconductor cosmos. This introductory episode is tailored to provide essential knowledge for those new to the Chemical Mechanical Polishing, or CMP, process. Our episode is organized into four distinct segments to give you a comprehensive understanding of CMP: First, we wil...
[EUVL Part5] EUV Resist
มุมมอง 2K7 หลายเดือนก่อน
*Join Semi Sherpa, your trusted guide through the semiconductor cosmos, for the grand finale of our enlightening EUV photolithography series. In this climactic episode, we shed light on the vital role of EUV photoresist materials in advancing semiconductor manufacturing. We start by unraveling the essence of conventional chemically amplified resists (CAR) developed for deep UV lithography, high...
[Photolithography Part7] Photoresist
มุมมอง 2K8 หลายเดือนก่อน
*Welcome to the concluding episode of our comprehensive series on optical photolithography for silicon wafer semiconductor fabrication. In this final installment, we turn our focus to photoresist technology, a critical component that significantly impacts the performance of the lithography process. This episode delves into the complexities of photoresists, interlayers, and photochemicals, cover...
[EUVL Part4] EUV Mask
มุมมอง 4.5K9 หลายเดือนก่อน
*Dive deeper into the intricacies of Extreme Ultraviolet Lithography (EUVL) with our ongoing series. This fourth episode shifts the focus towards EUV mask technology, a pivotal element in high-volume manufacturing (HVM). As we explore this critical component, we'll uncover how EUV masks differ from traditional optical masks and the unique challenges they bring to semiconductor fabrication. Belo...
[Photolithography Part6] Photomask (2 of 2)
มุมมอง 1.9K9 หลายเดือนก่อน
*Welcome back to our in-depth exploration of photomask technology in optical lithography for silicon wafer semiconductor fabrication. Continuing from the first part of this sixth episode, where we examined the photomask fabrication process, we now shift our focus to the Front-End of Line (FEOL) metrology and the Back-End of Line (BEOL) processes. This segment is dedicated to delving deeper into...
[Photolithography Part6] Photomask (1 of 2)
มุมมอง 4.5K10 หลายเดือนก่อน
*Welcome to the sixth episode of our series on optical photolithography, where we zoom in on photomask (reticle) technology-a foundational component shaping every pattern imprinted on silicon wafers. This episode is split into two parts; initially, we explore the intricate processes involved in the fabrication of photomasks within the Front-End of Line (FEOL) at the mask shop. Subsequently, we ...
[EUVL Part3] EUV Mirror
มุมมอง 4K10 หลายเดือนก่อน
*Welcome to our in-depth exploration of Extreme Ultraviolet Lithography (EUVL) for patterning on silicon wafers in semiconductor fabrication. Over the course of five episodes, we'll delve into every aspect of this revolutionary technology that is reshaping the modern lithography landscape. In this third episode, we explore the EUV mirrors that reflect EUV light from the source vessel to the res...
[EUVL Part2] ASML EUV Light Source
มุมมอง 2.3K11 หลายเดือนก่อน
*Welcome to our in-depth exploration of Extreme Ultraviolet Lithography (EUVL) for patterning on silicon wafers in semiconductor fabrication. Over the course of five episodes, we'll delve into every aspect of this revolutionary technology that is reshaping the modern lithography landscape. In this second episode, we focus on the ASML EUV Light Source, a critical challenge in achieving High-Volu...
[EUVL Part1] From the Beginning to HVM
มุมมอง 1.8K11 หลายเดือนก่อน
*Welcome to our in-depth exploration of Extreme Ultraviolet Lithography (EUVL) for patterning on silicon wafers in semiconductor fabrication. Over the course of five episodes, we'll delve into every aspect of this revolutionary technology that is reshaping the modern lithography landscape. In this first episode, we explore the history of EUVL development, revealing insights into its basic worki...
[Photolithography Part5] Multiple Patterning Technology (MPT)
มุมมอง 4.6Kปีที่แล้ว
*Welcome to the fifth installment of our in-depth exploration into optical photolithography for silicon wafer semiconductor fabrication. This episode dives into the sophisticated world of Multiple Patterning Technology (MPT), a crucial method in overcoming the limitations of traditional photolithography as device miniaturization pushes the boundaries of Rayleigh's equation. Despite the advances...
[Photolithography Par4] CD Measurement & Control
มุมมอง 4.7Kปีที่แล้ว
*Welcome back to our comprehensive series on optical photolithography for silicon wafers in semiconductor fabrication. In this fourth episode, we dive into the precision world of Critical Dimension (CD) measurement and control within optical lithography. Photolithography isn't just about creating patterns-it's about achieving those patterns with exactitude down to the nanometer. This session ze...
[Photolithography Part3] Alignment & Overlay
มุมมอง 15Kปีที่แล้ว
*Welcome to the third installment of our detailed exploration into the world of optical photolithography for silicon wafer manufacturing. This episode zeroes in on the critical aspects of alignment and overlay in optical lithography, essential for maintaining the precision required in today's semiconductor industry. As devices become increasingly miniaturized, achieving and maintaining nanomete...
[Photolithography Part2] Imaging & RET
มุมมอง 2.6Kปีที่แล้ว
[Photolithography Part2] Imaging & RET
[Photolithography Part1] Track (Coating & Develop)
มุมมอง 8Kปีที่แล้ว
[Photolithography Part1] Track (Coating & Develop)
[Device Part3] STT MRAM
มุมมอง 610ปีที่แล้ว
[Device Part3] STT MRAM
[Device Part2] Toggle MRAM
มุมมอง 933ปีที่แล้ว
[Device Part2] Toggle MRAM
[Device Part1] High-k Metal Gate (HKMG)
มุมมอง 3.8Kปีที่แล้ว
[Device Part1] High-k Metal Gate (HKMG)
[Thin Film Part1] Stress and Strain
มุมมอง 2.9Kปีที่แล้ว
[Thin Film Part1] Stress and Strain
[Thin Film Part8] BEOL Interconnect 2
มุมมอง 1.4Kปีที่แล้ว
[Thin Film Part8] BEOL Interconnect 2
[Thin Film Part7] BEOL Interconnect 1
มุมมอง 3Kปีที่แล้ว
[Thin Film Part7] BEOL Interconnect 1
[Thin Film Part6] ALD Basics
มุมมอง 2.1Kปีที่แล้ว
[Thin Film Part6] ALD Basics
[Thin Film Part5] CVD Basics
มุมมอง 2Kปีที่แล้ว
[Thin Film Part5] CVD Basics
[Thin Film Part4] PVD Basics
มุมมอง 3.3Kปีที่แล้ว
[Thin Film Part4] PVD Basics
[Thin Film Part3] Plasma Basics
มุมมอง 2.2Kปีที่แล้ว
[Thin Film Part3] Plasma Basics
[Thin Film Part2] Vacuum Basics
มุมมอง 1.9Kปีที่แล้ว
[Thin Film Part2] Vacuum Basics
[Fab Part1] All About Silicon Wafers
มุมมอง 8Kปีที่แล้ว
[Fab Part1] All About Silicon Wafers
[Device Part4] AI Milestones: A Journey Through History
มุมมอง 712ปีที่แล้ว
[Device Part4] AI Milestones: A Journey Through History

ความคิดเห็น

  • @ngzhenbin8262
    @ngzhenbin8262 6 วันที่ผ่านมา

    Very helpful video, thanks for uploading!

  • @mythmakinglife
    @mythmakinglife 12 วันที่ผ่านมา

    Вы самые-самые лучшие! ❤ Я никак не ожидал, что вы углубитесь в дальнейшие объяснения! Это действительно самое лучшее обобщение существующих знаний о процессе CMP)

  • @alexjunior4437
    @alexjunior4437 13 วันที่ผ่านมา

    Aguardo novos upload sobre o processo de litografia e suas fases de desenvolvimento. Muito grato 😀

  • @alexjunior4437
    @alexjunior4437 13 วันที่ผ่านมา

    Muito bom sua explicaçao do passo a passo estou me aprimorando para cada dia saber mais sobre esta tecnologia e manter me atualizado Obrigado

  • @ElijahSamsonWiltonChen
    @ElijahSamsonWiltonChen 14 วันที่ผ่านมา

    very good, thx. but the ai voice is pretty bad

  • @PunkStyles-f7g
    @PunkStyles-f7g 20 วันที่ผ่านมา

    Extraordinary. I learned a lot from your vid. Thank you.

  • @Fedor3099
    @Fedor3099 20 วันที่ผ่านมา

    your lectures are the best on youtube❤

  • @adityanegi9024
    @adityanegi9024 20 วันที่ผ่านมา

    Love these lectures!!! Keep them coming!!!!

  • @sylantw
    @sylantw หลายเดือนก่อน

    謝謝!

  • @chanjiachi
    @chanjiachi หลายเดือนก่อน

    This is next level of nerdness, always wanted to know what does MGP stands for, now thanks to your channel, i know! Is there a real person behind the channel, it does sounds like AI narration.

  • @vitorrafaelmorandini2338
    @vitorrafaelmorandini2338 หลายเดือนก่อน

    God damn, the internet is insane. Great content man, thank you!

  • @chuanglu9162
    @chuanglu9162 หลายเดือนก่อน

    May I ask where you got this? I am not offensive

    • @SemiSlides
      @SemiSlides หลายเดือนก่อน

      Everything is based on publicly available information. It's just that storytelling has been added. For those who want to know more, I have tried to leave as many references as possible, so please refer to them.

  • @mythmakinglife
    @mythmakinglife หลายเดือนก่อน

    Потрясающе) Спасибо! Приятно смотреть такие видео)

  • @mythmakinglife
    @mythmakinglife หลายเดือนก่อน

    Вау! Классно. Приятно видеть новые видео) CMP - сила!)

  • @shadowunifer
    @shadowunifer หลายเดือนก่อน

    Oh my god this is awesome! Why does this have so few views!?!

    • @SemiSlides
      @SemiSlides หลายเดือนก่อน

      Oh my god, I expected so. Views might be low, but silicon’s got that glow- Like wafers we’re stacking, soon they’ll be cracking! Thanks for being a Silicon Pioneer, you know!

  • @obatayuki9118
    @obatayuki9118 หลายเดือนก่อน

    Hi I would like to thank you for being able to join tsmc as an intern! I was a mere math major student. However since I found your EUV series I started to be strongly attracted to semiconductor industry. Drived by curiosity, I learned semiconductor from scratch while watching your series, and finally get accepted as an intern. I truly appreciate your detailed work, and hope for more series😊

    • @SemiSlides
      @SemiSlides หลายเดือนก่อน

      Congrats on landing the TSMC internship! 🎉 It’s incredible to hear how our deep dives-not scratch-level stuff, but the kind of knowledge even field engineers wrestle with-helped you stand out. Your curiosity and dedication truly paid off. Keep pushing forward-we’ve got more advanced content coming your way! 😉

  • @Tower0fHeaven
    @Tower0fHeaven หลายเดือนก่อน

    great video

  • @Tower0fHeaven
    @Tower0fHeaven หลายเดือนก่อน

    OMG you came back!! Happy to see another video up!

    • @SemiSlides
      @SemiSlides หลายเดือนก่อน

      Actually, I never left! Life just had me running a full production line. 😉 But it’s awesome to be back and reconnect with you all! Let’s keep the Silicon revolution going, Pioneer!

  • @jiakuansun6363
    @jiakuansun6363 2 หลายเดือนก่อน

    Wonderful resources!!!!

  • @OpalEckerDeRuvo
    @OpalEckerDeRuvo 2 หลายเดือนก่อน

    These are such great resources❤️❤️❤️ thank you!

  • @caroline5309
    @caroline5309 2 หลายเดือนก่อน

    Hi, nice to meet you. I really like your video. i learned a lot from you. actually i have a friend who has something not understand about this video, so she want to understand more from the powerpoint and translate to her own language to understand better. is that possible for you to offer me the powerpoint please? thank you very much. looking forward to your response. have a good day.

    • @SemiSlides
      @SemiSlides 2 หลายเดือนก่อน

      Hi there! Nice to meet you too! Thanks for the kind words-I'm thrilled you enjoyed the video and found it helpful. 😊 As much as I'd love to help, PowerPoint is like a stubborn old computer-it doesn’t support language translation. But here's the good news: TH-cam has some nifty features! It offers transcripts and translation options that might be just what your friend needs. Feel free to drop any questions here, and I'll be more than happy to dive deep into them or point you toward some great resources. Have a fantastic day, and happy learning to both you and your friend! 🚀✨

  • @azamatbezhan1653
    @azamatbezhan1653 2 หลายเดือนก่อน

    How do you think when gaafet will get high k/ metal gate instead SiO2

    • @SemiSlides
      @SemiSlides 2 หลายเดือนก่อน

      Great question! Actually, GAAFETs, just like their older siblings Planar Transistors and FinFETs, are already using High-k/Metal Gate (HKMG) technology instead of SiO2. The HKMG club is quite inclusive, you see! If SiO2 were still being used, it would feel like inviting a typewriter to a laptop convention. Thanks for watching, and keep those silicon inquiries coming! 😊🔬

  • @anantsingh5527
    @anantsingh5527 3 หลายเดือนก่อน

    Very informative video, got cleared lots of doubts. Thanks alot.

  • @sagarjaiswar5597
    @sagarjaiswar5597 3 หลายเดือนก่อน

    Not against any Indian batter

    • @SemiSlides
      @SemiSlides 3 หลายเดือนก่อน

      Looks like our silicon wafers could give even the toughest Indian batters a run for their money! Just as resilient and ready to handle any fastball... or rather, fast electron. 🏏🔬

  • @RajibSarkar-uw6kq
    @RajibSarkar-uw6kq 3 หลายเดือนก่อน

    Thanks for making this content. It is great and can't be better than this.

  • @miinyoo
    @miinyoo 4 หลายเดือนก่อน

    Oh man I could use a camel ride right now. Not an AI voiced camel ride.

    • @SemiSlides
      @SemiSlides 3 หลายเดือนก่อน

      Oh, a classic camel ride does sound fun! But these days, AI voices are smoother and more comfortable than any bumpy camel ride. We're living in a time where distinguishing between human and AI voices is tough, so don't miss out on the perks of new technology! 😉🚀

  • @candoit29
    @candoit29 4 หลายเดือนก่อน

    This is what i wanted for long time. Thank you so much

  • @Zego_Movies
    @Zego_Movies 4 หลายเดือนก่อน

    I have an interview with TSMC tomorrow, and I study here :) Thanks a lot...

    • @SemiSlides
      @SemiSlides 4 หลายเดือนก่อน

      That's fantastic news! I hope the interview went well. How did it turn out? We're all curious to know if you dazzled TSMC with your semiconductor savvy! Keep us posted, Silicon Pioneer! 🌟🚀

    • @Zego_Movies
      @Zego_Movies 3 หลายเดือนก่อน

      @@SemiSlides actually, it was pretty good and maybe you won't believe that but I got the job!

    • @SemiSlides
      @SemiSlides 2 หลายเดือนก่อน

      That's fantastic news! 🎉 Congrats on landing the job at TSMC! It’s amazing to hear that the content was helpful for your interview prep. I always strive to pack in as many useful tips and insights as possible, drawing from my own experiences as a job interviewer and those of others in the field. It’s awesome to know that it paid off for you. Welcome to the semiconductor world, Silicon Pioneer! 🚀 Keep pushing the boundaries of innovation! 🌟

    • @rojasgonzales8210
      @rojasgonzales8210 2 หลายเดือนก่อน

      congratulations!!!, what did you study and what languaje did you learn, also how did you get an interview. Its quite early for me i believe because im a student but i want to prepare a lot to get there.

    • @Zego_Movies
      @Zego_Movies หลายเดือนก่อน

      @@rojasgonzales8210 Thank you so much! I have studied photolithography in detail through TH-cam channels and academic papers. However, I had the opportunity to conduct hands on experiments on photolithography during my PhD at the University of New Mexico :) Still, I applied many jobs that are close to my skills. After getting accepted, I started to study Chinese :) I believe you are a successful student and for preparation I can say that "the earlier the better"...

  • @Stevenebe462
    @Stevenebe462 4 หลายเดือนก่อน

    Thanks for the amazing video. I am wondering if ASML Orion system can use other company’s alignment mark design? E.g can 2000i use Intel’s own alignment mark? Thanks.

    • @SemiSlides
      @SemiSlides 4 หลายเดือนก่อน

      Thank you for the great feedback and for watching the video! Regarding your question about the ASML Orion system and the use of Intel's alignment marks, that's a great query. However, our channel doesn't disclose any information that could infringe on a company's confidential details or trade secrets. In general, ASML systems are designed to be quite versatile, but specific implementations involving proprietary designs like Intel's alignment marks would fall into the category of confidential information. Thanks for understanding, and keep exploring the fascinating world of semiconductors! 😊

    • @Stevenebe462
      @Stevenebe462 4 หลายเดือนก่อน

      @@SemiSlides also curious what is the difference between alignment mark and overlay mark? in terms of functionality

    • @SemiSlides
      @SemiSlides 2 หลายเดือนก่อน

      Hey there, Silicon Pioneer! Great question! Let's dive into the differences between alignment marks and overlay marks from a functionality perspective, especially in the context of ASML Twinscan systems. Alignment Marks: These are used to align the reticle (the photomask) with the wafer. Given that photolithography equipment is expensive, maintaining continuous operation on the exposure side is the top priority. Therefore, the alignment measurement on the measure side must be completed in less time than it takes for the exposure side to process a single wafer. This means the system can't align every single field on the wafer individually. Instead, it focuses on aligning the entire wafer with the entire reticle to keep things running smoothly and efficiently. Overlay Marks: These come into play after the exposure and, unlike alignment marks, the process doesn't affect the expensive ASML system's productivity. As a result, a separate overlay measurement system can be used to thoroughly and massively measure the overlay for each individual exposure field. This helps identify any misalignment across all the exposure fields and provides detailed feedback to ensure better alignment for future exposures. And hey, apologies for the delay in getting back to you. Sometimes, the notification system likes to take its sweet time. Thanks for your patience! Keep those awesome questions coming! Cheers, Semi Sherpa 🌟

  • @henriquesian3437
    @henriquesian3437 4 หลายเดือนก่อน

    I'm here just to say that this is at moment the best channel that i found on youtube about the litography theme 👏👏👏 Already subscribe and waiting for the new videos, despite the fact i'll never own a multi-million lithography machine, it's amazing to see how these things works! Thanks and keep going with the channel 😉👍

    • @SemiSlides
      @SemiSlides 4 หลายเดือนก่อน

      Thank you so much for your kind words! We're thrilled to hear that you find our channel to be the best on TH-cam for lithography themes. Your support means a lot to us. And don't worry, we'll keep this secret about our channel being the best from Professor Chris Mack over at the Litho Guru channel! We're currently working on content about CMP, but we'd love to know if there are specific aspects of lithography you're interested in learning more about. Thanks again for subscribing and for your enthusiasm. Stay tuned for more amazing content!

    • @henriquesian3437
      @henriquesian3437 3 หลายเดือนก่อน

      ​@@SemiSlidesHaha, I think Mr. Mack deserves to know how good he is at explaining this things! Tell him about my comment 😂. And about your question: There are a lot of videos around this theme here on YT, but all the contents are just "the same"... your channel goes deep on the "technical thing", and this is what makes you different from the others. Keep going on this way, the internet is already full of generic information, but your content is rich! Thank you for sharing your experience with us 🙏.

  • @SinkorSig
    @SinkorSig 4 หลายเดือนก่อน

    very informative, thank you

  • @jatigre1
    @jatigre1 4 หลายเดือนก่อน

    I wonder what kind of properties a synthetic diamond mirror would give.

    • @SemiSlides
      @SemiSlides 4 หลายเดือนก่อน

      Thanks for the intriguing question! Here’s my take: 1. Low EUV Reflectivity: Single-layer diamond films don't offer high reflectivity for EUV wavelengths. Effective EUV mirrors require multilayer coatings, like Mo/Si Bragg mirrors. 2. Material Comparison: Diamond doesn’t provide significant advantages over Mo/Si multilayers. At EUV wavelengths, diamond and graphite offer similar atomic properties, making their unique bonding structures less impactful. 3. Manufacturing Challenges: Diamond substrates are difficult to process with techniques like ion milling, limiting their practical application. 4. Hydrogen Environment Issue: In EUV systems, hydrogen environments used to manage tin debris can cause diamond to convert to hydrocarbons, further complicating its use. I appreciate the great question. For a broader perspective, consider discussing this on a forum with a larger audience. Keep those brilliant questions coming, Silicon Pioneer!

  • @chingweishen5591
    @chingweishen5591 4 หลายเดือนก่อน

    Thank you for much valuable and systematical introduction

    • @SemiSlides
      @SemiSlides 4 หลายเดือนก่อน

      Thank you so much for your generous $10 Super Thanks! We truly appreciate your support. Regarding the content on masks, it’s based on real-world semiconductor production practices. We incorporate insights from experts with over 20 years of experience in the field, ensuring that our information is both accurate and highly relevant. Your engagement and support help us continue to share this valuable knowledge.

  • @chingweishen5591
    @chingweishen5591 4 หลายเดือนก่อน

    謝謝!

  • @xiaomeili-s7b
    @xiaomeili-s7b 4 หลายเดือนก่อน

    Total 13.4nm comprises 40 bi-layer + 1 capping layer. Each bi-layer 6.9nm?

    • @SemiSlides
      @SemiSlides 4 หลายเดือนก่อน

      Regarding the thickness of the Mo/Si mirror, please refer to EUVL Part 3. th-cam.com/video/Sx41pOBUu1I/w-d-xo.html

  • @abuzahid3062
    @abuzahid3062 5 หลายเดือนก่อน

    Thanks ,,,,,wow

  • @sudipdas5960
    @sudipdas5960 6 หลายเดือนก่อน

    LINK TO THE SLIDES WILL BE A GREAT VALUE ENHANCER. IF IT IS PROVIDED IN THE DESCRIPTION. N.B. : IT APPLIES TO ALL OF YOUR VIDEOS.

    • @SemiSlides
      @SemiSlides 6 หลายเดือนก่อน

      Absolutely, thanks for pointing that out! 🌟 Including the slides link in the description is a fantastic suggestion for those who want to explore deeper. Also, using the ChatGPT Chrome extension to get summaries is super clever! For an enhanced learning experience, check out the extension here: chromewebstore.google.com/detail/youtube-summary-with-chat/nmmicjeknamkfloonkhhcjmomieiodli Keep those brilliant ideas coming, Silicon Pioneers! 😎👍

    • @sudipdas5960
      @sudipdas5960 6 หลายเดือนก่อน

      @@SemiSlides FROM MY EXPERIENCEAND I KNOW MANY OF THE VIEWERS FIRST EXPLORE THE SLIDES TO HAVE A GRASP IN THE CONTENT IN MINUTES. AND IF THEY FIND THE CONTENT RELEVANT TO THEIR REQUIREMENT THEY WILL SPEND THEIR TIME BY THOROUGHLY VIEWING IT. THE ATTENTION SPAN OF EVERY LEARNER IS CONTINUOUSLY DROPPING. IT IS TRUE FOR ME ALSO. THANKS FOR RESPONDING SO FAST. WAITING FOR THE LINKS TO APPEAR IN YOUR ALL VIDEOS. SOON. THANKS FOR REFERRING THE CHROME EXTENSION. I WILL DEFINITELY GIVE IT A TRY THANKS AGAIN. N.B. - I HAVE MAILED YOU ALSO.

  • @ringoraster
    @ringoraster 6 หลายเดือนก่อน

    thank you for this wonderful series

  • @ringoraster
    @ringoraster 6 หลายเดือนก่อน

    thank you

  • @Martinit0
    @Martinit0 6 หลายเดือนก่อน

    The slides are outstanding!

    • @SemiSlides
      @SemiSlides 6 หลายเดือนก่อน

      We're thrilled you think so! Our team put in many 'nanoseconds' of effort to ensure each slide was 'positively charged' with info. Thanks for tuning in, and stay 'current' with us for more electrifying content! ⚡😊

  • @Martinit0
    @Martinit0 6 หลายเดือนก่อน

    Wow this was way deeper than expected - thanks a lot for this extensive discussion! I wonder how long it took to figure out the cause for issues like the reticle haze effect 28:18 I imagine this kind of side-effects are very difficult to find out especially if they come from interactions between two different machines. I hope the person who figured it out got some kind of promotion and considered a hero.

    • @SemiSlides
      @SemiSlides 6 หลายเดือนก่อน

      Absolutely, you've nailed a key point! Reticle defects, especially haze, are well-known in the semiconductor realm. Thanks to their consistent replication on each shot, these defects are predictable and manageable. Periodic cleaning and sophisticated detection systems are standard practices now, efficiently preventing and spotting haze defects early. It’s like having a high-tech security system for pristine reticle maintenance! Keep the great questions coming as we delve deeper into semiconductor intricacies! 🌟🔍

  • @rock3tcatU233
    @rock3tcatU233 6 หลายเดือนก่อน

    Your content is awesome, keep it up! You've helped me get into the nanofabrication industry. :)

    • @SemiSlides
      @SemiSlides 6 หลายเดือนก่อน

      Caught in the whirlwind of life, finding time for content creation has been tougher than achieving the perfect photolithography pattern on a busy day in the fab. My schedule's as packed as a high-density chip, but I'm etching out moments for our channel. Your support means the world, akin to flawless wafer yields. Hang tight, Silicon Pioneers, and thanks for sticking with me through thick and thin films!

  • @schlawuzieohnename3090
    @schlawuzieohnename3090 6 หลายเดือนก่อน

    Your videos are really excellent! :) Congratulations and thank you very much! We have a couple of questions: Is it possible to cooperate with you or support you? Is it possible to get the presentations for training in technologies?

    • @SemiSlides
      @SemiSlides 6 หลายเดือนก่อน

      Hey @schlawuzieohnename3090, Big thanks for the shout-out! 🚀 Your support is like doping in semiconductors-vital and energizing! Now, onto the biz. My semiconductor journey's been a bit like a high-k dielectric layer-pretty dense. With books, papers, patents, and teaching gigs under my belt, I’m more about sharing the volts and jolts of knowledge than collecting more accolades or queries. This channel? It's my way of throwing open the lab doors-digitally, at least-to offer top-notch semiconductor smarts for free. Think of it as an all-you-can-learn buffet, no reservations required. 🍽 Got a burning question or a curiosity spark? Drop it right here! I’m always around, ready to sprinkle some wisdom or just a good ol' chuckle. As for emails, let's just say my inbox is like a quantum well-best not to add more electrons to it unless absolutely necessary. Keep those neurons firing and circuits wiring, Silicon Pioneers! Cheers, Semi Sherpa from the SemiSlides Squad 🌟

    • @schlawuzieohnename3090
      @schlawuzieohnename3090 6 หลายเดือนก่อน

      Thank you very much for your friendly feedback! You are doing a really great job!!! I am the head of department at a non-commercial educational institution for micro and nanotechnologies and we work (day and night) with enthusiasm and with many companies and institutes in Germany to make great progress in vocational training in technology. You people are urgently needed :) So I am very happy to have discovered your exciting channel - a great asset!!! Thank you very much again!

  • @Chunwei-zq1dn
    @Chunwei-zq1dn 6 หลายเดือนก่อน

    Do you plan to do advanced packaging? It would be also very cool to see it.

    • @SemiSlides
      @SemiSlides 6 หลายเดือนก่อน

      Absolutely, we're gearing up to dive into the world of advanced packaging, and your enthusiasm is the icing on our semiconductor cake! Think of us as master bakers in the grand kitchen of semiconductor technology. Right now, we're meticulously gathering all the ingredients - knowledge, tech insights, and a sprinkle of Silicon Pioneer curiosity. Our oven, metaphorically speaking, is preheating. While I can't exactly tell you when this delicious cookie will be ready to serve, rest assured, it's going to be one tasty treat, blending the finest chips with the most exquisite packaging techniques. So, keep your napkin close and your appetite for knowledge even closer. We're cooking up something that's not just cool; it's sub-zero! Stay tuned, and thanks for stirring up such a great question!

  • @firenze114
    @firenze114 7 หลายเดือนก่อน

    Thanks for making such a great video, could you please share the briefing from the video?

    • @SemiSlides
      @SemiSlides 7 หลายเดือนก่อน

      Ah, the age-old quest for a summary! While I'd love to condense the wisdom of the ages (or at least the wisdom of a few minutes of video) into a bite-sized treat for you, I must confess, my summarizing quill has temporarily run out of ink. My scribe duties are on a brief hiatus, as I'm currently enrolled in the 'Art of Elaboration'. But fear not, for the adventure of watching the video may yet reveal untold treasures. Who knows what enlightening secrets you'll uncover on this quest? 🧙‍♂️✨

    • @CyrusTabery
      @CyrusTabery 4 หลายเดือนก่อน

      I think he was asking for the slides. This is the short version it is 3 decades of resist evolution in a short video.

  • @Chunwei-zq1dn
    @Chunwei-zq1dn 7 หลายเดือนก่อน

    Hi around 33:16 you said that the effective mass of HH is lower. I thought the effective mass of HH is higher which gives lower mobility. It looks like the tensile strain is better in the case of your plot. Can you elaborate on this? Thanks!

    • @SemiSlides
      @SemiSlides 7 หลายเดือนก่อน

      Thank you for your insightful question. I apologize for any confusion caused by the slide presentation. The images attached to the slide are indeed stitched together from different sources and not from the same paper, which might have led to some misunderstanding. To clarify, the terms Heavy Hole (HH) and Light Hole (LH) are indeed based on the no strain condition of the semiconductor material. It's correct that the effective mass of HH is higher, which typically results in lower mobility compared to LH under no strain conditions. However, when tensile strain is applied, the situation can change significantly. The impact of tensile strain on the band structure and, consequently, on the mobility of carriers (holes in this case) is complex and depends on several factors, including the direction of the strain and the specific channel material being used. Tensile strain can alter the energy levels of HH and LH, as well as their effective masses, which in turn affects their mobility. In some cases, tensile strain can indeed enhance the mobility of HH or make the mobility differences between HH and LH less pronounced, depending on how the strain modifies the band structure. It's important to note that the effects of strain on energy levels and mobility are highly dependent on the material properties and the strain's directionality. This means that the specific outcomes can vary widely across different semiconductor materials and strain configurations. Again, I appreciate your question, and I hope this explanation helps to clarify the situation. The interplay between strain, energy levels, and mobility is a nuanced topic that highlights the complexity of semiconductor physics and the importance of considering all factors in device design and analysis.

  • @Wei5397
    @Wei5397 7 หลายเดือนก่อน

    How can you know so much and comprehensively about semiconductor processes and equipment? Really impressive.

    • @SemiSlides
      @SemiSlides 7 หลายเดือนก่อน

      Ah, the secrets of the semiconductor world are much like a complex integrated circuit - layered, intricate, and occasionally, shrouded in the mystique of pure silicon magic! 🌌 My journey through the valleys and peaks of silicon has been fueled by an insatiable curiosity and, admittedly, an unhealthy amount of caffeine. ☕️ Each transistor gate I've crossed and every silicon wafer I've encountered has been a story, a lesson in the vast encyclopedia of semiconductor knowledge. Sharing this knowledge with you, my fellow Silicon Pioneer, is what powers my circuits! And remember, if you ever feel the urge to support this channel in a tangible way, Superthanks is always there, like a trusty old EEPROM, retaining your generosity even when the power is off. Here's to navigating the semiconductor landscape together, armed with humor, wisdom, and perhaps a semiconductor joke or two. May our paths be as conductive as a well-doped silicon substrate! ⚡️

  • @ShivaniRai-z8n
    @ShivaniRai-z8n 7 หลายเดือนก่อน

    How the SRAM need prior data erasure before a write operation? It directly overwrites the data, as much as I know. Please explain.

    • @SemiSlides
      @SemiSlides 7 หลายเดือนก่อน

      In the realm of memory technologies, the term "erase" is often associated with the process required in Flash memory, where it signifies a prelude to data writing. This step involves resetting a significant portion of the memory to a default state, typically turning all bits to 1, making it ready for new data to be written. This process is distinct and necessary due to the physical and operational characteristics of Flash memory. Contrastingly, SRAM operates without a conventional erase step. Data in SRAM is stored using flip-flop circuits for each bit, enabling direct state changes from 0 to 1 or vice versa, allowing for the immediate overwrite of existing data. However, the introduction of processes like bitline equalization into this discussion illuminates a critical aspect of SRAM's data writing mechanism. Bitline equalization can be seen as a phase of preparing the memory cells for new data by setting the bitlines to a known, neutral state. This step, integral to SRAM's operation, ensures a stable and efficient environment for the incoming data, optimizing the memory's performance by minimizing write operation times and enhancing reliability. Though not an "erase" in the traditional sense, especially not in the way it is understood within Flash memory technology, bitline equalization serves a preparatory function that could be likened to erasing, in that it readies the cell for new information by neutralizing previous states. Therefore, while SRAM inherently does not require an erase phase before writing new data, it involves preparatory actions such as bitline equalization. These actions, essential for the direct overwrite capability, ensure that SRAM cells are in an optimal state for new data. This perspective broadens the understanding of "erasing" beyond its conventional boundaries, highlighting the diverse approaches different memory technologies use to manage and update data. In essence, SRAM's design and operation circumvent the need for a traditional erase before writing new data but include essential steps to ensure the memory is primed for data modification. This nuanced understanding aligns with the broader mechanics of memory technologies, where various processes ensure efficient and reliable data storage and retrieval.

  • @nitinmanware8773
    @nitinmanware8773 7 หลายเดือนก่อน

    Thanks for the detailed session on Multipatterning. I have a query related to design file. Does the cad/design file (gds/oasis) contain layers of target layout only or does it contain all the multipatterning related layers

    • @SemiSlides
      @SemiSlides 7 หลายเดือนก่อน

      The design file, particularly in formats like GDSII or OASIS, plays a crucial role in the semiconductor manufacturing process, especially when it comes to multipatterning techniques. These files are primarily intended to provide the layout for mask writers, ultimately aiming to decompose the target layout into a form that corresponds to a single mask layout. This decomposition is essential because, in the context of multipatterning, the target layout layer is intricately divided, or decomposed, into multipatterning-related layers to achieve the desired resolution and pattern density on the semiconductor wafer. To directly address your query, the design file does indeed include both the target layout layers and all the multipatterning-related layers. This is a critical aspect of modern semiconductor fabrication, where multipatterning techniques are employed to overcome the limitations of photolithography by enabling finer feature sizes and greater pattern densities than would be possible with a single patterning process. The process of decomposing the target layout into multipatterning-related layers is a sophisticated task. It involves deciding whether to split the target layout into two colors (as in double patterning), three colors, or to use techniques like Self-Aligned Double Patterning (SADP) among others. This decomposition is guided by advanced software tools provided by companies like Synopsys. These tools analyze the target layout and determine the most efficient way to decompose it into multiple patterns that can be individually processed and later merged to form the final, complex structures on the wafer. This decomposition is not arbitrary but is a highly technical decision-making process that takes into account the limitations of the photolithography equipment, the physical and chemical properties of the materials involved, and the ultimate goals of the semiconductor device being manufactured. By employing such advanced decomposition strategies, manufacturers can ensure that the final mask layout, as represented in the GDSII or OASIS file, accurately reflects the multipatterned design necessary for fabricating advanced semiconductor devices. For those seeking a deeper dive into the specifics of double patterning and its role in semiconductor fabrication, "Design Rules in a Semiconductor Foundry" by Eitan N. Shauly, published in 2022, is an invaluable resource. Particularly, the discussion on double patterning found between pages 42 and 68 offers detailed insights into how target layouts are decomposed into multipatterning-related layers, highlighting the critical role of software tools in this process. This book section is recommended for anyone looking to understand the intricacies of multipatterning and its impact on the design and fabrication of semiconductor devices.

    • @nitinmanware8773
      @nitinmanware8773 7 หลายเดือนก่อน

      Thanks ​@@SemiSlides

  • @a1238071
    @a1238071 8 หลายเดือนก่อน

    Thank for taking us through wonderful EUV journey. What’s the next series? I’m looking forward to it.

    • @SemiSlides
      @SemiSlides 8 หลายเดือนก่อน

      We're diving into the world of Chemical Mechanical Planarization (CMP) for our next series, shining a light on the semiconductor industry's unsung hero. Picture CMP as a spa day for semiconductors, buffing chips to high-performance perfection. As we gather insights and polish our content to a wafer-like sheen, we'll need a brief pause in our uploads, expecting a few months' hiatus. Thanks for your patience! Prepare for a blend of tech insights sprinkled with humor that makes CMP as engaging as your morning coffee. Stay tuned for a series where science meets wit!