[Photolithography Part1] Track (Coating & Develop)

แชร์
ฝัง
  • เผยแพร่เมื่อ 20 ก.ย. 2024

ความคิดเห็น • 21

  • @dmitriistytsyna3124
    @dmitriistytsyna3124 8 หลายเดือนก่อน +2

    The best semiconductor equipment guide ever. Keep up the great work!

    • @SemiSlides
      @SemiSlides  8 หลายเดือนก่อน +1

      Thanks for the feedback! If you found the photolithography introduction enlightening, you're in for a treat. We've got a whole silicon valley of good introductions and deep dives into the world of semiconductors. Stick around for more - it's like a tech treasure hunt, and you never know what gem you'll uncover next!

  • @chanjiachi
    @chanjiachi 12 วันที่ผ่านมา +1

    This is next level of nerdness, always wanted to know what does MGP stands for, now thanks to your channel, i know! Is there a real person behind the channel, it does sounds like AI narration.

  • @Wei5397
    @Wei5397 6 หลายเดือนก่อน +3

    How can you know so much and comprehensively about semiconductor processes and equipment?
    Really impressive.

    • @SemiSlides
      @SemiSlides  6 หลายเดือนก่อน +4

      Ah, the secrets of the semiconductor world are much like a complex integrated circuit - layered, intricate, and occasionally, shrouded in the mystique of pure silicon magic! 🌌 My journey through the valleys and peaks of silicon has been fueled by an insatiable curiosity and, admittedly, an unhealthy amount of caffeine. ☕️ Each transistor gate I've crossed and every silicon wafer I've encountered has been a story, a lesson in the vast encyclopedia of semiconductor knowledge. Sharing this knowledge with you, my fellow Silicon Pioneer, is what powers my circuits! And remember, if you ever feel the urge to support this channel in a tangible way, Superthanks is always there, like a trusty old EEPROM, retaining your generosity even when the power is off. Here's to navigating the semiconductor landscape together, armed with humor, wisdom, and perhaps a semiconductor joke or two. May our paths be as conductive as a well-doped silicon substrate! ⚡️

  • @Martinit0
    @Martinit0 5 หลายเดือนก่อน +2

    Wow this was way deeper than expected - thanks a lot for this extensive discussion!
    I wonder how long it took to figure out the cause for issues like the reticle haze effect 28:18 I imagine this kind of side-effects are very difficult to find out especially if they come from interactions between two different machines. I hope the person who figured it out got some kind of promotion and considered a hero.

    • @SemiSlides
      @SemiSlides  5 หลายเดือนก่อน +2

      Absolutely, you've nailed a key point! Reticle defects, especially haze, are well-known in the semiconductor realm. Thanks to their consistent replication on each shot, these defects are predictable and manageable.
      Periodic cleaning and sophisticated detection systems are standard practices now, efficiently preventing and spotting haze defects early. It’s like having a high-tech security system for pristine reticle maintenance!
      Keep the great questions coming as we delve deeper into semiconductor intricacies! 🌟🔍

  • @Zego_Movies
    @Zego_Movies 3 หลายเดือนก่อน +2

    I have an interview with TSMC tomorrow, and I study here :) Thanks a lot...

    • @SemiSlides
      @SemiSlides  3 หลายเดือนก่อน +2

      That's fantastic news! I hope the interview went well. How did it turn out? We're all curious to know if you dazzled TSMC with your semiconductor savvy! Keep us posted, Silicon Pioneer! 🌟🚀

    • @Zego_Movies
      @Zego_Movies 2 หลายเดือนก่อน +1

      @@SemiSlides actually, it was pretty good and maybe you won't believe that but I got the job!

    • @SemiSlides
      @SemiSlides  หลายเดือนก่อน +2

      That's fantastic news! 🎉 Congrats on landing the job at TSMC! It’s amazing to hear that the content was helpful for your interview prep. I always strive to pack in as many useful tips and insights as possible, drawing from my own experiences as a job interviewer and those of others in the field. It’s awesome to know that it paid off for you. Welcome to the semiconductor world, Silicon Pioneer! 🚀 Keep pushing the boundaries of innovation! 🌟

    • @rojasgonzales8210
      @rojasgonzales8210 หลายเดือนก่อน +2

      congratulations!!!, what did you study and what languaje did you learn, also how did you get an interview. Its quite early for me i believe because im a student but i want to prepare a lot to get there.

    • @Zego_Movies
      @Zego_Movies 28 วันที่ผ่านมา

      @@rojasgonzales8210 Thank you so much! I have studied photolithography in detail through TH-cam channels and academic papers. However, I had the opportunity to conduct hands on experiments on photolithography during my PhD at the University of New Mexico :) Still, I applied many jobs that are close to my skills. After getting accepted, I started to study Chinese :) I believe you are a successful student and for preparation I can say that "the earlier the better"...

  • @anantsingh5527
    @anantsingh5527 2 หลายเดือนก่อน +1

    Very informative video, got cleared lots of doubts. Thanks alot.

  • @常先-v3m
    @常先-v3m 10 หลายเดือนก่อน +2

    My friend, I am a beginner about lithography machines and I am eager to learn relevant knowledge. Please upload more knowledge related to lithography machines. Thank you very much for your efforts

    • @SemiSlides
      @SemiSlides  10 หลายเดือนก่อน +1

      Hey there, budding lithography guru! If you're gearing up to become a master of the light dance, don't miss 'Photolithography Part 2'. It's like the secret level in a video game where all the pro tips and tricks are. Dive in, and you’ll be leveling up your knowledge in no time. Keep feeding your brain, and thanks for being part of our community of tech aficionados!

  • @Nurtastube
    @Nurtastube 8 หลายเดือนก่อน +2

    Greate course! But it's very hard for me to understand )) What material is the substrate made of? Everyone writes only substrate and that's it.

    • @SemiSlides
      @SemiSlides  8 หลายเดือนก่อน +2

      Sure thing! In the semiconductor scene, substrate materials are the Coca-Cola of the industry. Companies brand them with snazzy names and guard their recipes like a soda formula locked in a vault. It's their fizzy secret to success, and they're not sharing a sip of the details! So, while we can all enjoy the tech fizz they create, the recipe stays on a need-to-know basis, and apparently, we don't need to know!

    • @Nurtastube
      @Nurtastube 8 หลายเดือนก่อน +1

      @@SemiSlides I get it now. I thought silicon was called a substrate.

  • @shtngro
    @shtngro 11 หลายเดือนก่อน +2

    Apparently I am a nerd...

    • @SemiSlides
      @SemiSlides  10 หลายเดือนก่อน +1

      Embrace the nerd within! 🤓 At SemiSlides, we're all about that geek chic. Keep rocking those resistors and capacitors with us!