Verilog intro - Road to FPGAs

แชร์
ฝัง
  • เผยแพร่เมื่อ 14 ก.ค. 2018
  • Best & Fast Prototype ($2 for 10 PCBs): www.jlcpcb.com
    Thanks to JLCPCB for supporting this video.
    We know logic gates already. Now, let't take a quick introductiion to Verilog. What is it and a small example. Stay tuned for more of this ROAD TO FPGAs series.
    Help my projects on Patreon : / electronoobs
    my Q&A page: electronoobs.com/eng_preguntas...
    Canal en Español: / electronoobs en español
    -----------------LINKS-------------------
    Quartus LITE downlaod: dl.altera.com/?edition=lite&pl...
    (software free for students) Create an account using real + fake data if you want. Then downlaod the free licence softwares.
    Logic Gates webpage: eng_circuitos_tut22.php
    Flip Flops: eng_circuitos_tut22_2.php
    Karnaug table: eng_circuitos_tut23.php
    COUPONS
    ____________________________
    FPGA Cyclone IV EP4CE6: rover.ebay.com/rover/1/711-53...
    Dual Ch Oscilloscope (266€):www.gearbest.com/other-instru...
    Electrical Tools: www.gearbest.com/promotion-el...
    PRINTERS
    -------------------------------------
    Ender 3(167€): www.gearbest.com/3d-printers-...
    SparkMaker SLA: www.gearbest.com/3d-printers-...
    Crealitu CR10: www.gearbest.com/3d-printers-...
    Coupon code: "11CR10EU" or "11CR10US"
    ANET E10 (219$): www.gearbest.com/3d-printers-...
    Coupon code: "Anete10us"
    TEVO Tarantula (175$): www.gearbest.com/3d-printers-...
    Coupon code: "Tarantulaus"
    Creality CR10 MINI: www.gearbest.com/3d-printers-...
    COUPON: CR10MINI
    Anet A8: www.gearbest.com/3d-printers-...
    Coupon code: "A8KIDA"
    Like share and subscribe to motivate me. Thank you
  • วิทยาศาสตร์และเทคโนโลยี

ความคิดเห็น • 111

  • @ELECTRONOOBS
    @ELECTRONOOBS  6 ปีที่แล้ว +8

    Help me on: www.patreon.com/ELECTRONOOBS
    Join my Q&A: www.electronoobs.com/eng_preguntas.php
    Thank you/Gracias!

    • @thunder8485
      @thunder8485 6 ปีที่แล้ว +1

      Electronoobs Hello, Do you remember the radio transmitter and receiver video. I am planning on making my own pcbs of the transmitter and receiver. Can you show some of the basic stuff like the channel nuberation on the transmitter and things like that. Please be nice and make a video about it.

    • @garethronaldo8692
      @garethronaldo8692 6 ปีที่แล้ว

      of i did make my own channel hope you help me 😂

    • @ArjunNarula1122
      @ArjunNarula1122 3 ปีที่แล้ว

      th-cam.com/video/Yt7no6rwCVk/w-d-xo.html

    • @ArjunNarula1122
      @ArjunNarula1122 3 ปีที่แล้ว

      m.th-cam.com/video/Yt7no6rwCVk/w-d-xo.html

    • @ArjunNarula1122
      @ArjunNarula1122 2 ปีที่แล้ว

      th-cam.com/video/6M3nyyQfpHU/w-d-xo.html

  • @oddlytimbotwillison6296
    @oddlytimbotwillison6296 6 ปีที่แล้ว +34

    Outstanding introduction to a complex subject.

  • @Max-en7er
    @Max-en7er 6 ปีที่แล้ว +23

    With videos uploads today from you, GreatScott, The 8-bit guy and ExplainingComputers, my sunday could not be more awesome. Great video on perhaps the most interesting advanced topic for electronics hobbyists!

  • @basviel6288
    @basviel6288 3 ปีที่แล้ว

    Your the best in explaining complex matter in short directive, most important facts, at an entertainment way, which is joyfull to watch.
    Learning the Smart and most Fun way !

  • @wi_zeus6798
    @wi_zeus6798 6 ปีที่แล้ว

    Excellent video! looking forward to the future videos on this topic.

  • @placeholder5982
    @placeholder5982 2 ปีที่แล้ว

    Excellently presented, with great simplicity!
    Thank you!

  • @SimplyEmbedded
    @SimplyEmbedded 6 ปีที่แล้ว

    I really enjoyed this video. That was a great explanation to this topic!

  • @sheepman6291
    @sheepman6291 4 ปีที่แล้ว

    I love all these videos. I owe you.

  • @jonfx9845
    @jonfx9845 6 ปีที่แล้ว +1

    thank you very much bro !
    i ve learnd a lot from your videos keep going like this cause and i would like to learn more about electronics
    wish you the best

  • @martinwestermeyergondonnea84
    @martinwestermeyergondonnea84 6 ปีที่แล้ว

    i was wating for this since you announced it. i feel this is the holy grail for the hobbysts like me. thank you!!

    • @billigerfusel
      @billigerfusel 6 ปีที่แล้ว

      Martin Westermeyer Gondonneau Verilog is easy to get started on but hard to master.

    • @user-wf3rc2ll5c
      @user-wf3rc2ll5c 6 ปีที่แล้ว

      Learning digital logic circuit is not easy and dealing with it's truth tables, karnaugh maps, discrete mathematics, etc. can be very confusing especially when circuit becomes very complex. The circuit needs to be properly analyzed before it can be programmed to produce the appropriate or the desired result.

  • @sumit_kashyap..
    @sumit_kashyap.. 6 ปีที่แล้ว

    Great video . love your work

  • @JoseLaruta
    @JoseLaruta 6 ปีที่แล้ว

    Buenísimo! por favor no abandones este tutorial porque hace falta uno bueno de FPGA en youtube. Mucha de la información esta vieja y a veces ya ni vigente. Acabo de adquirir un MAX1000 que tiene un fpga MAX10 de 8k le y estoy recopilando información para empezar a trabajar con ese fpga. Felicidades!

    • @ELECTRONOOBS
      @ELECTRONOOBS  6 ปีที่แล้ว +1

      Jose Eduardo Laruta Espejo muchas gracias. Intentaré hacer más partes de verilog

  • @jonathanflores3748
    @jonathanflores3748 5 ปีที่แล้ว

    Great video and very well explained!!

  • @obaidabohsas6448
    @obaidabohsas6448 6 ปีที่แล้ว +3

    great, and great, and great

  • @vandrangivenkatesh2836
    @vandrangivenkatesh2836 6 ปีที่แล้ว

    Waiting for more !

  • @shivashankar28
    @shivashankar28 5 ปีที่แล้ว

    Excellent video!

  • @NBL00D
    @NBL00D 6 ปีที่แล้ว +1

    nice one, more please.

  • @NiggaSlayer666
    @NiggaSlayer666 2 ปีที่แล้ว

    Thank you so much!

  • @TheHaubke
    @TheHaubke 6 ปีที่แล้ว +2

    nice i wanted to start a few years ago, thought it was to hard. but now i see. i want to try again.

    • @_d4r1o_22
      @_d4r1o_22 3 ปีที่แล้ว

      Same boat 🚣‍♂️

  • @SomnathMalik__EC
    @SomnathMalik__EC 3 ปีที่แล้ว +4

    I now understood the level of a beginner🤣

  • @MCsCreations
    @MCsCreations 6 ปีที่แล้ว

    Really interesting!

  • @avramitra
    @avramitra 6 ปีที่แล้ว +3

    Excellent video. This video Kickstarted my adventure in the world of FPGA.
    I'm thankful to you for making it.

  • @krishnawa_
    @krishnawa_ 6 ปีที่แล้ว

    Great tutorial

  • @THEREALDATALORD
    @THEREALDATALORD 5 ปีที่แล้ว

    Throwing people your way man.
    Great work.

  • @midianalbertokhaiyow8195
    @midianalbertokhaiyow8195 6 ปีที่แล้ว

    excelente como siempre, un poco complejo pero me gusto, gracias..

  • @darzahidzahid4967
    @darzahidzahid4967 6 ปีที่แล้ว

    Great info. Thank u .Please make a video about smps transformer calculations

  • @mancio92M
    @mancio92M 6 ปีที่แล้ว +2

    wowww FPGAs !!! Great tutorial

  • @electronic7979
    @electronic7979 6 ปีที่แล้ว

    Useful video

  • @leozendo3500
    @leozendo3500 5 ปีที่แล้ว

    FPGA tutorial on youtube. This is amazing.

  • @JeffriRanger
    @JeffriRanger 6 ปีที่แล้ว +30

    Anyone believe he was a noob?

  • @1000chutku
    @1000chutku 6 ปีที่แล้ว

    Great video. I loved it. Can you make pid close loop speed control of bldc motor?

  • @eee_bangla
    @eee_bangla 3 ปีที่แล้ว

    tnx

  • @fahvm4362
    @fahvm4362 3 ปีที่แล้ว

    are you Great Scott friend? electronic are fun learn from you guys !

  • @EvilSandwich
    @EvilSandwich 4 ปีที่แล้ว +1

    I've always made extensive use of 7400/4000 logic chips and PIC microcontrollers. However I've always wanted to learn how to use FPGAs in my circuits just due to the SHEER MASS of versatility they would allow me on my PCB projects, but I always found the topic intimidating.
    Thank you for making it seem just a little bit less daunting.
    What kind of demo board would you recommend for someone just starting out learning Verilog and FPGAs?

  • @GRBtutorials
    @GRBtutorials 6 ปีที่แล้ว

    I have a board with a Cyclone II (EP2C6) and another with a Cyclone IV (EP4CE10). The first number indicates the version and the second one, the number of thousands of logic cells.

  • @DanMaker01
    @DanMaker01 6 ปีที่แล้ว

    Implement a Single cycle mips core :D. What program you use to create the presentation ?

  • @augustindarkangel
    @augustindarkangel 6 ปีที่แล้ว +2

    I recently got into this subject too. You could mention a book and a course that go in more detail about this it's called from nand to Tetris. Pretty interesting. Good job can't wait to see more from you. Btw any idea if a scientific calculator has a such fpga inside? And if we could use such an fpga to make a calculator like that.

    • @ELECTRONOOBS
      @ELECTRONOOBS  6 ปีที่แล้ว +1

      augustindarkangel yes but is not something easy. The state machines would get quite complicated. Always check GitHub for already made examples of Tetris games and so...

    • @augustindarkangel
      @augustindarkangel 6 ปีที่แล้ว

      Electronoobs what I was saying is that there is a book out there called "from nand to Tetris" written by two professors that goes in detail about all of this stuff

    • @ELECTRONOOBS
      @ELECTRONOOBS  6 ปีที่แล้ว +1

      augustindarkangel Nono, I was talking about scientific calculator also. keep up

    • @augustindarkangel
      @augustindarkangel 6 ปีที่แล้ว

      Electronoobs thanks. I love ur your videos !

  • @mixing7531
    @mixing7531 5 ปีที่แล้ว

    Excellent tutorial. It looks like you can use $readmemb to access data externally as well, but only in simulation. You should be able to generate duty cycles to generate sPWM with internal arrays. Does anyone have an example of code to share and learn from? Just learning. Thanks!

  • @vigneshperiyasamy3019
    @vigneshperiyasamy3019 ปีที่แล้ว

    best way to start learning is " Learing from a learner"

  • @garethronaldo8692
    @garethronaldo8692 6 ปีที่แล้ว +1

    yaay 😀

  • @codecode905
    @codecode905 3 ปีที่แล้ว

    for cyclone 2 which device we have to install... :)

  • @ashwin372
    @ashwin372 2 ปีที่แล้ว

    how is the code converted to a chip level description? what happens after the program is compiled?

  • @florinbaciu2325
    @florinbaciu2325 6 ปีที่แล้ว

    Link for verilog what you use?

  • @SobhitPanda
    @SobhitPanda 3 ปีที่แล้ว

    Sir please suggest some coursework to learn FPGA. Where did you learn Verilog RTL design and ... I couldn't find any on Udemy

  • @billigerfusel
    @billigerfusel 6 ปีที่แล้ว

    Wazap ma friends 😃

  • @oxycada9272
    @oxycada9272 5 ปีที่แล้ว

    Does the have an oscillator?

  • @mindyabiznarc
    @mindyabiznarc 3 ปีที่แล้ว

    The lapse of activities got me wondering if you got deported or is in prison

  • @chaitanyabalaga9830
    @chaitanyabalaga9830 2 ปีที่แล้ว

    Can anyone suggest me where to learn Verilog the best way

  • @danicaneri5403
    @danicaneri5403 3 ปีที่แล้ว

    Can you make one using VHDL?

  • @divyanshu_harkhka
    @divyanshu_harkhka 6 ปีที่แล้ว

    Great series!
    Any link from where I can learn verilog..?

    • @ELECTRONOOBS
      @ELECTRONOOBS  6 ปีที่แล้ว

      Divyanshu Harkhka i will search some link's for some good books and put those in the description

    • @divyanshu_harkhka
      @divyanshu_harkhka 6 ปีที่แล้ว

      Thanks a lot ✌️

  • @lucasbretels
    @lucasbretels 3 ปีที่แล้ว

    Very fast explain for an intro. I will do my best.

  • @The_3_Triangle
    @The_3_Triangle 7 หลายเดือนก่อน

    FPGA programming is almost similar to PLC programming...at first both cases needed to define the hardware configuration after writing the program ....i described very simple but in reality not so that easy but i don't go into details too much

  • @RodrigoOliveira-cc3kc
    @RodrigoOliveira-cc3kc 2 ปีที่แล้ว

    👏👏👏👏👏

  • @magnuswootton6181
    @magnuswootton6181 2 ปีที่แล้ว

    making an old school arcade game on an fpga if you fully unroll the game, you can have 100 megahert framerate!!! quite amazing and superfluous, goes to show how powerful FPGAS are.

  • @mechlopak
    @mechlopak 6 ปีที่แล้ว

    Thank you Electronoobs, I will follow this interesting series :)
    4:12 in FPGA we have synthesis instead of compilation

  • @prathamyadav3105
    @prathamyadav3105 4 ปีที่แล้ว

    Notice the captions between 4:20 to 4:26.

  • @microelectronicsworkshop9147
    @microelectronicsworkshop9147 2 ปีที่แล้ว

    Hi how i can order this board from pakistan.

  • @GRBtutorials
    @GRBtutorials 6 ปีที่แล้ว +1

    “I can do anything I want” But only on the digital domain! If you want analog domain, you’ll also need ADCs and DACs.

    • @harrysvensson2610
      @harrysvensson2610 6 ปีที่แล้ว +1

      Or an analog FPGA, aka a FPAA en.wikipedia.org/wiki/Field-programmable_analog_array

    • @GRBtutorials
      @GRBtutorials 6 ปีที่แล้ว +1

      Yes, but as the Wikipedia says: "On-chip resources are still very limited when compared to that of an FPGA". And almost nobody even knows about them! I was able to find only one manufacturer of them online! And they're not available through distributors like Mouser, Digikey, etc.

    • @josefaschwanden1502
      @josefaschwanden1502 4 ปีที่แล้ว

      Spi adc is your friend

  • @bobafruti
    @bobafruti 3 ปีที่แล้ว

    Thanks, now I can start designing my own super secure computer and phone from the ground up 🤣🤣🤣

  • @audiodiwhy2195
    @audiodiwhy2195 ปีที่แล้ว

    1:11 what dev board Is this?

  • @eznAnze
    @eznAnze 3 ปีที่แล้ว

    Cool! You are Italian and sound russian! ;) Nice video btw!

  • @PedrinbeepOriginal
    @PedrinbeepOriginal 6 ปีที่แล้ว +1

    Muy buen video en gran detalle! 👍 Podrías probar la placa MOJO V3 que es una FPGA que permite usar Arduino IDE? Puede ser interesante 👌 En Aliexpress están más baratas 😂

    • @ELECTRONOOBS
      @ELECTRONOOBS  6 ปีที่แล้ว +1

      Pedrinbeep miraré de comprarla. Y si hago vídeo será de aquí meses... Saludos...

    • @PedrinbeepOriginal
      @PedrinbeepOriginal 6 ปีที่แล้ว +1

      Genial! Gracias por la dedicación =)

  • @muhammadsiddiqui2244
    @muhammadsiddiqui2244 5 ปีที่แล้ว

    What's your ethnicity/accent bro?

  • @tobeornottobe7775
    @tobeornottobe7775 6 ปีที่แล้ว +1

    :-)

  • @wowkidz3708
    @wowkidz3708 6 ปีที่แล้ว

    hola .nice video
    Usted hace un dron sin escobillas. Usa motores de 2200kv pero yo soy un dron de tamaño buildin f450 entonces, ¿qué debo cambiar en multiwii? y también qué valor es bueno para el motor de 1000kv.
    por favor debe responder
    gracias, me suscribí a tu canal y me gusta el video también>
    mantenerse al día>

    • @efrenbg1
      @efrenbg1 5 ปีที่แล้ว

      You will have a better experience with an f3 or f4 flight controller board. Cleanflight's family works amazingly

  • @midianalbertokhaiyow8195
    @midianalbertokhaiyow8195 6 ปีที่แล้ว

    imagino las posibilidades... imagina un osciloscopio de X canales 10 y más si se quiere... uffff

    • @GRBtutorials
      @GRBtutorials 6 ปีที่แล้ว

      Midian Alberto Khaiyow Por desgracia, necesitas más cosas (caras) que un FPGA (tales como los ADCs) y un gran conocimiento de electrónica y seguramente sería más caro que comprar uno, eso si quieres unas buenas especificaciones, claro.

  • @ctbram0627
    @ctbram0627 ปีที่แล้ว

    my code is exactly the same as yours and in decimal my duty = -16 and both clk and PWM are flat lines???? Under msg, I see 0, -16, Stx. You need to explain how you are doing things like changing the scale and position in the wave file!!!~! This is supposed to teach someone that is just learning so just hiding the bottom of the display and magically scaling and changing the timeline means I spent 21 hours for NOTHING because even though my code exactly matches yours I cannot get the same waveform ~!! This was very frustrating!!!
    I fixed the duty cycle show 240. You said click radix>decimal but you clicked unsigned!!!
    But as far as the clk and pwm signals all I get are flat lines!

  • @subhajitmahanta6974
    @subhajitmahanta6974 5 หลายเดือนก่อน

    you did not explain verilog coding

  • @tobeornottobe7775
    @tobeornottobe7775 6 ปีที่แล้ว +1

    Could you make a smart watch plzzz thanks : )

  • @Redafto
    @Redafto 6 ปีที่แล้ว

    I know you have already build a ESC but maybe you can make a ESC with e.g. 40A 24V for BLDCs and with a Hall or a optical encoder like this one amzn.to/2LaNAFb, so you can build a Servomotor with cheap BLDCs, a cheap precise encoder and a DIY ESC that's also cheap and very versatile (24 V 40 A) and with which you can also run the Motor backwarts which is nesessary for a servo. You could use it than for motion control for example for a linear axis of a cnc or inverted pendulum :)

  • @xnetworkDEVILx
    @xnetworkDEVILx 5 ปีที่แล้ว

    i wish i can give u 2 likes

  • @mohammedasadig6030
    @mohammedasadig6030 4 ปีที่แล้ว +1

    You can check Ben eater he made an graphics card on bread board by using simple logic gates and a eeprom

  • @ask9014
    @ask9014 5 ปีที่แล้ว

    он русский?

  • @tantai4187
    @tantai4187 6 ปีที่แล้ว

    Road to vahala :v