FPGA Tutorial 4. VGA in VHDL on Altera DE1 Board

แชร์
ฝัง
  • เผยแพร่เมื่อ 13 ก.ย. 2024
  • In this tutorial I will show how to program VGA interface in VHDL, suing DE1 Altera board.
    Eventually we will program a simple game
    ----------------------------------------------------
    Source Code:
    github.com/Ant...

ความคิดเห็น • 148

  • @adrienchl5792
    @adrienchl5792 2 หลายเดือนก่อน

    Excellent tutorial and very clear, especially the parts where you explain the different offsets to take into consideration, which others often forget to mention, thanks a lot ! :)

  • @user-gb4cc5kc6p
    @user-gb4cc5kc6p 8 ปีที่แล้ว +2

    Thank you very much, Toni T800. Thanks to your video, we, students of Saint Petersburg State Polytechnic University, were able to do our course work. On the basis of your material we wrote the game Arkanoid. Thank you. Your video inspire us!

    • @ToniT800
      @ToniT800  8 ปีที่แล้ว

      +Василий Васильев Всегда пожалуйста ;)

  • @MrZaaaaaaa
    @MrZaaaaaaa 9 ปีที่แล้ว +2

    Thank you for the great video, the subtitles were a huge help with tracking the numbers.

  • @ToniT800
    @ToniT800  11 ปีที่แล้ว +1

    Hello, you can find the link to the source code below in the video description.

  • @toddisbn
    @toddisbn 11 ปีที่แล้ว +1

    Anton,I truly appreciate the work you have put into these videos. This latest one, was just right. Please don't change the format from that.
    I would love to see more tutorials (at least yours are not demos) as I am absorbing a lot of info from these.
    Pity you left EEV Blog, you are a good resource and people can be just childish, very few critics put in the effort to produce an effort as good as this, if they post at all.
    I would love to see you expand these videos. Keep up the great work.

  • @daractcity
    @daractcity 10 ปีที่แล้ว

    Incredible simple tutorial for something not so trivial. I learned a lot. tahnk you very much

  • @Noblesse820
    @Noblesse820 7 ปีที่แล้ว +8

    NIce videos bro, but you know it's difficult for beginners to follow you because you go straight to the VHDL code. It will be nice if make some high level block diagram showing the entities the signals and explain how you will proceed. and thank you

  • @bellicose2009
    @bellicose2009 10 ปีที่แล้ว +1

    Thank you so much man, you have made my work so much more easy, I am really grateful for coming across your tutorial . YOu are the best :) !

  • @alexanderlindsey7134
    @alexanderlindsey7134 4 ปีที่แล้ว

    awesome tutorial!
    worked on a NEXSYS 4 DDR Artix-7 board.

  • @scroombler
    @scroombler 10 ปีที่แล้ว

    and of course thank you so much for publishing this video... It has helped me understand vga output a lot more quickly than I would have otherwise

  • @JDE16
    @JDE16 5 ปีที่แล้ว

    Thank you for the tutorial, keep doing videos. greetings from Colombia

  • @abbefaria3117
    @abbefaria3117 6 ปีที่แล้ว

    I followed your code on an Altera's DE10-Lite board and everything went fine *except* that I had to debounce the buttons, otherwise the squares would move erratically on the screen. I wonder why this wasn't necessary on your board? Anyway 2 thumbs up, great tutorials which helped me to get started with fpgas. Please do more of these!

  • @khawlamabrouki9675
    @khawlamabrouki9675 7 ปีที่แล้ว

    Congratulation Toni!!
    firstly, thank you for these tutorials. you are so good,you help all the users of FPGA, hdl
    For me I have to load an image on a card DE1-SOC
    can you help me please to start my project .
    thank you very match

  • @BalaKrishnan-qk2zu
    @BalaKrishnan-qk2zu 9 ปีที่แล้ว +1

    Excellent work

  • @user-eo5dq7bm2v
    @user-eo5dq7bm2v 10 ปีที่แล้ว

    Thanks alot... u are a savior :) ... great work!!!!

  • @abramlemberg498
    @abramlemberg498 3 ปีที่แล้ว

    Антуан, ю ар зэ бэст!

  • @matthewprestine1974
    @matthewprestine1974 8 ปีที่แล้ว +11

    why have you stopped making vhdl videos, they are great! make more.

    • @ToniT800
      @ToniT800  8 ปีที่แล้ว +7

      Hi. Thanks for nice words! I will do more video in future. Right now I am writing my Master Thesis, so no time/energy for FPGA magic:)

    • @iqbalmohomed216
      @iqbalmohomed216 7 ปีที่แล้ว

      Best of luck with your thesis writing! Your FPGA videos and explanation are really amazing btw. Thank you for sharing your knowledge. I hope you get a chance to make some more videos.

    • @user-ww2lc1yo9c
      @user-ww2lc1yo9c 7 ปีที่แล้ว

      What is your Masters Thesis about?

  • @arzu5025
    @arzu5025 10 ปีที่แล้ว

    Thanks a lot :) because of you we could make some progress :)

  • @270103023
    @270103023 11 ปีที่แล้ว

    Hi,
    thanks for the tutorials!!!
    question: how did you get the pixels for FP, BP, and SP? why there are differences between horizontal and verical FP, BP, and SP?

  • @gexkill
    @gexkill 9 ปีที่แล้ว +1

    great tutorial

  • @270103023
    @270103023 11 ปีที่แล้ว

    Never mind. I got it. I copy the code incorrectly. Again, thank you for uploading this!!

  • @linalin2090
    @linalin2090 8 ปีที่แล้ว +3

    Thank you for the tutorial but when i try to use the code for 1280*1024 i got in the monitor "auto adjusting". what that means ?

    • @chaimaeel4448
      @chaimaeel4448 5 ปีที่แล้ว

      Please do you link just the cable vga with FPGA and any screen?

  • @user-jr8vw3wh7i
    @user-jr8vw3wh7i ปีที่แล้ว

    Hi
    After completing the Programmer for the code in the board that I am using, how can I display the content of the code on an external screen? I used a vga cable between the port and a side screen? Are there other things I should do..... I programmed the tic tac toi game and I programmed its code on the board (DE10-LITE) after that I used a vga cable to connect the screen to the board in order to display the game on the screen, but This did not happen. What is the problem and how can I display the game on the screen? Is there a specific step or thing that I must do...
    ***I am using quartus software from intel

  • @moudali9297
    @moudali9297 7 ปีที่แล้ว

    Thank you for the tutorial, how about if I wanted to print out a big word in the middle of screen. is there a way to generated the pixel location corresponding to each letter?

  • @fernandocezar3348
    @fernandocezar3348 5 ปีที่แล้ว

    Amazing! Tks bro!

  • @patelma4320
    @patelma4320 8 ปีที่แล้ว

    Great tutorial brother . . . i have a question . . . i have a project to do . . i have got the top level module DE2_115_TOP.v, and several reusable cores developed by Altera: a VGA controller core Ctrl.v for synchronization signals. a reset module for resetting the PLL, and an Altera Megawizard components VGA_Audio_PLL.v.
    and i need to 2.Modify file DE2-115-TOP.v so that it controls the VGA to display colours according to the settings of the iSWs, especially: Red colour signal is controlled by the [17:12] bits of the switch; Green colour signal is controlled by [11:6] bits of the switch; Blue colour signal is controlled by [5:0] bits of the switch.
    Thank you

  • @metalpatriot22
    @metalpatriot22 9 ปีที่แล้ว

    great tutorials man! what vhdl program is that you use? i use xilinx but this one seems more friendly, i´m kind of new in vhdl

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      +Luis Zurita This is Quartus, official free Altera Software

  • @dammvalt
    @dammvalt 11 ปีที่แล้ว +2

    Hi Anton,
    thank you for your tutorials! They're really useful for a vhdl beginner!
    Can you provide also the code for this excercise? I will appreciate a lot.
    Thank you, Mattia

  • @rajarshimukherjee4844
    @rajarshimukherjee4844 15 วันที่ผ่านมา

    Does the cyclone v board work in the same way?

  • @javiernunez1616
    @javiernunez1616 9 ปีที่แล้ว +2

    Awesome...
    But I do not understand why do you need a 24 MHz clock to create an 108MHz clock ?
    Thanks

    • @jeremystanley3389
      @jeremystanley3389 9 ปีที่แล้ว +2

      The demo board probably has a 24Mhz oscillator and his program needs to run at 108Mhz

    • @Baqueirooo
      @Baqueirooo 8 ปีที่แล้ว +4

      +Javier Núñez
      Keep in mind that you will have to display the total quantity of pixels (1688x1066) x 60 times per second which gives 107,96 MHz. Thats why you need 108MHz to display that resolution.
      DE1 has 3 internal clock sources, 24MHz, 27MHz and 50MHz, so you need to use a PLL to achieve 108MHz.

    • @chaimaeel4448
      @chaimaeel4448 5 ปีที่แล้ว

      @@Baqueirooo thanks for this useful informations

  • @osmancakmak3207
    @osmancakmak3207 6 ปีที่แล้ว

    Thank you for your excellent videos. I have a question; How can we display any TEXT on vga display? May you help me.

  • @rifatulhaque2902
    @rifatulhaque2902 10 ปีที่แล้ว

    Thanks a lot for the help but can u give any tips how to connect this vga to make an audio equalizer?

  • @alexandremagalhaes1536
    @alexandremagalhaes1536 9 ปีที่แล้ว

    Congratulations.

  • @yigittural212
    @yigittural212 3 ปีที่แล้ว

    Hi, I cannot move one of the squares, I don't understand why. Please help me!

  • @wegi9621
    @wegi9621 7 ปีที่แล้ว

    Epic ! Tony rules !

  • @assiamamal1141
    @assiamamal1141 6 ปีที่แล้ว +1

    Hi Toni, i follow all the steps mentioned in the video, and on PLL, i just have one module name : altpll_0 =>pll_slave so i can't extract the signals CLKIN, CLKOUT, RESET. If you can help me to find out the problem i'll be grateful.

    • @chaimaeel4448
      @chaimaeel4448 5 ปีที่แล้ว

      The same probleme .I am using quartus 8

  • @U581
    @U581 3 ปีที่แล้ว

    I am making an architecture of computer class on youtube, and i will use your code but i will give the reconize to your work
    Regards

  • @michelelanza3954
    @michelelanza3954 10 ปีที่แล้ว

    Dear Anton, i copy your source code but i can't compile the project because there is an error on PLL, i use the version of quartus 9.1 sp2 that don't have QSYS but only SOBC and when i try to set clock there are not all option that QSYS have, can you help me? consider that i must use 9.1 sp2 and i can't download the last version of Quartus

  • @jmass1934
    @jmass1934 9 ปีที่แล้ว +1

    Awesome, Thank you,
    I have a problem with the code, my screen says me "Input signal out of range, change setting to 1920*1080 - 60 Hz", and then pass into sleep mode... I don't understand why that thing happen. Could you help me ? Thx

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว +1

      that is just mean you are driving your vga display at wrong resolution/timing

  • @amirrahchamani6399
    @amirrahchamani6399 7 ปีที่แล้ว

    hi , i have question , can you help me ?
    how i can add a Moving Target to display for a game ?

  • @ibrahimagam4341
    @ibrahimagam4341 7 ปีที่แล้ว

    hi toni
    i've tried your tutorial but i have some error's in VHDL type. it says mismatch and std_logic doesn't match integer lateral. what that means ? help me please :)

  • @EdViaja
    @EdViaja 10 ปีที่แล้ว

    I am really grateful for coming across your tutorial... :D but I am using the DE1 SoC and I have problem with the chip ADV7123, I watch a black screen, when I implement the vhdl code :/

  • @270103023
    @270103023 11 ปีที่แล้ว

    Wow , thank you!!! I got the game working on my DE1 board, but I can't get the first project which display two white line crossing each other working? the display is always black no matter how I change the value of R,G,B.

  • @saifnajimismail5907
    @saifnajimismail5907 7 ปีที่แล้ว

    i wanna thanks so much to explain very well i used DE1-SoC i change pin assignment according manual broad after run on screen no single so what is problem?

  • @TheMajdi86
    @TheMajdi86 9 ปีที่แล้ว

    Hello, do you have any Altera DE 1 Cyclone ii serial communication tutorial? Like DE1 board send serial data with bluetooth module?

  • @ztark0z
    @ztark0z 9 ปีที่แล้ว

    you are awesome , thanks a lot,
    a have a question , whats the difference between process and procedure?

  • @johnbowman9734
    @johnbowman9734 2 ปีที่แล้ว

    How could you make this square into a circle?

  • @achmadfathoni3944
    @achmadfathoni3944 7 ปีที่แล้ว

    AMAZING

  • @truongleexuan8716
    @truongleexuan8716 8 ปีที่แล้ว

    thanks toni! your quartus complier run very fast. do you have license crack altera quartus 14.1? how do you send to me?

  • @davidortega901
    @davidortega901 7 ปีที่แล้ว

    Excellents videos! Excuse me, What program do you use for making your videos? I´m interested in the part of the subtitles.

    • @ToniT800
      @ToniT800  7 ปีที่แล้ว +2

      Hi. I am using CorelVideo Studio

    • @davidortega901
      @davidortega901 7 ปีที่แล้ว

      Thank you!

  • @iammituraj
    @iammituraj 6 ปีที่แล้ว

    Just one question. How you know HSYNC is active low pulse for your monitor. As per VESA VGA STANDARD specs, it says HSYNC and VSYNC are active high pulses for 1280x1024 @60 Hz

    • @electronash
      @electronash 6 ปีที่แล้ว

      MITU RAJ
      Most modern monitors aren't too fussy about the sync polarity for many common modes, at least via a VGA input.
      The sync is usually edge-triggered, so usually works OK, but what might happen is that the image gets shifted slightly, and that may or may not be possible to correct via the on-screen menu.
      The horizontal pulse are usually very short though, so the monitor triggering on either edge of the Hsync shouldn't be noticeable.
      The sync polarity is more of a historical thing, which helped older CRT monitors to detect the correct resolution (for safety blanking, and sync frequency limits etc.)
      But yep, it's probably worth sticking to the VESA standard modes if you want it to work on the majority of monitors (both old and new).

  • @oktayozturkOktayns
    @oktayozturkOktayns 10 ปีที่แล้ว

    Thanks bro ..

  • @nimame78
    @nimame78 5 ปีที่แล้ว

    thanks for that, but i need an example for the HDMI please

  • @schalgeri
    @schalgeri 10 ปีที่แล้ว

    Why u've taken 2 bit vector for clk in main program

  • @hays2464
    @hays2464 7 ปีที่แล้ว

    If anyone wants to see these exact tutorials, but done in Verilog I have completed them and posted them here: christopherhays.wordpress.com/
    The code is also available here: github.com/christopherhays/my-modules
    Try it out and let me know if it works for you, I just prefer Verilog and maybe other people do as well.

  • @jennifferjackelyne
    @jennifferjackelyne 5 ปีที่แล้ว

    @Toni T800
    I have an altera de2i-150 fgpa board will it work on there too? Or do I have to change something on the code to make it work?

    • @marvz0r86
      @marvz0r86 5 ปีที่แล้ว

      Did you figured out what to do on the DE2-115 to make this work?

  • @chaimaeel4448
    @chaimaeel4448 5 ปีที่แล้ว

    Please if someone can tell why we have just one RGB in the procedure and not R and G anb B ? how do the procedure use RGB ?

  • @mauricionelbolanospena373
    @mauricionelbolanospena373 9 ปีที่แล้ว

    Thank you for the video, I have a question, how do you know or how did you find your pixelclock?

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      ***** just google the VGA interface, You will find a list of pixelclock frequenzy for each resolution

    • @mauricionelbolanospena373
      @mauricionelbolanospena373 9 ปีที่แล้ว

      Toni T800 Hi, I am try to run the basic code of VGA more or less that you explain to create the first image, but I have a DE2 -115 board, the assigments pin's and hardware is different(VGA ADC ADV7123) , then create the PLL with a clock of 50Mhz and additional PLL for VGA_CLOCK of VGA DAC , but didn't work . . any suggestion?

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      ***** Hi. ADV7123 works a bit different. Check out this tutorial:th-cam.com/video/euw0ILLTEhM/w-d-xo.html
      I use DE1-SoC board there, it has the same ADV7123 chip as DE2-115. You can see how you should connect it to your entity in my sourcecode

    • @mauricionelbolanospena373
      @mauricionelbolanospena373 9 ปีที่แล้ว

      thanks!!

    • @Baqueirooo
      @Baqueirooo 8 ปีที่แล้ว +3

      If someone is using ADV7123, just make sure to tie BLANK and SYNC to Vcc, in order to be able to display what you are sending to R, G and B. Toni has 4 bits for each color because DE1 has a 4-bit DAC. Since ADV7123 is a 10-bit DAC, its equivalent... we just will have more color options in the end of the day. E.g. 4'b1111 in this video is equivalent to 10'b1111111111 in ADV...

  • @XaviAmor
    @XaviAmor 6 ปีที่แล้ว +1

    Hey that's great! I'm new to this and I have no idea which pins I should assign to VGA_R, VGA_B and VGA_G. You use a 4 bit vector but the pins in the chip is size 10. Any help? Thanks!

  • @belalmahmoud7089
    @belalmahmoud7089 7 ปีที่แล้ว

    hey anton
    plz i tried to achive 640x480 resolution by using the timing of it
    and using the same code of u but without pll and adding a code to achieve 25 clock of 50 clock coz my fpga is DE0
    and nothing appear on the screen plz help me :(

  • @wilsonbenavides4260
    @wilsonbenavides4260 10 ปีที่แล้ว

    sorry, I followed the tutorial but I can not show anything on the VGA monitor. could you explain how to assign PIN on the DE0 board...?? please.. I do not understand

    • @ToniT800
      @ToniT800  10 ปีที่แล้ว

      Watch my first tutorial (Blinking LED), I show how to assign pins at the beginning.

  • @scroombler
    @scroombler 10 ปีที่แล้ว +2

    Hey quick question:
    So I understand why hsynch is low between fp and bp, but why is vsynch thrown low between 0 and 4 instead of 1 and 4?

    • @_Junkers
      @_Junkers 9 ปีที่แล้ว +1

      Because any assignment will only become valid once the process has completed. e.g. The following if statement is satisfied after 1 clock cycle.
      PROCESS (CLK)
      BEGIN
      a 0) THEN
      ...do stuff
      END IF;
      END PROCESS;
      Processes are not executed sequentially. The same applies to HSYNC.

  • @jilblack1
    @jilblack1 7 ปีที่แล้ว

    plz some help, how to bring the window at 10:58 (to attach the generated file to our project), im gonna thankful to have some answer ^^ .

  • @_Junkers
    @_Junkers 9 ปีที่แล้ว

    Why have you used 12 bits instead of 8?

  • @270103023
    @270103023 11 ปีที่แล้ว

    can you also post the first version SYNC, because I can't get the first time working.

  • @subashrajam2971
    @subashrajam2971 7 ปีที่แล้ว

    how to map the sine wave? could you tell me?

  • @JGunlimited
    @JGunlimited 7 ปีที่แล้ว

    nice!

  • @fanofthefpga7931
    @fanofthefpga7931 10 ปีที่แล้ว +1

    Hi Anton, how are you?.
    Please, could you do a tutorial about using SDRAM?.
    I will thank you a lot.
    Hug!

  • @robertoneli845
    @robertoneli845 10 ปีที่แล้ว

    Hello Antoni. Congratullations for yor tutorial.
    Please, i have a DE2-115 board, and your solution dont work. Do you know what I need change to work? Thanks...

    • @marvz0r86
      @marvz0r86 5 ปีที่แล้ว

      Did you figured out what to do on the DE2-115 to make this work?

  • @wilsonbenavides4260
    @wilsonbenavides4260 10 ปีที่แล้ว

    Hello..
    that use a 2-bit signal at input CLOCK_24 signal instead of a 1-bit?

    • @ToniT800
      @ToniT800  10 ปีที่แล้ว

      hi.
      CLOCK_24 on DE board is double 24 MHZ clock output. One is just inverted.So while Clock_24(0) is LOW, the Clock(1) is HIGH.

  • @chaimaeel4448
    @chaimaeel4448 5 ปีที่แล้ว

    thaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaank you very much

  • @b213videoz
    @b213videoz 5 หลายเดือนก่อน

    Your bottom subtitles are obnoxious, Anton. Those who do need subtitles can get them with youtube itself (it does a good job doing that).

  • @dr.tahabasheer2753
    @dr.tahabasheer2753 9 ปีที่แล้ว

    Thank you for the tutorial and great way of presenting, Toni I am trying with 1440* 900 and I got the FP and BP of it, however the screen still black after auto adjusting. am using DE2 Cyclone.

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      +Ph.D Student Did you also changed the VGA frequency?

    • @dr.tahabasheer2753
      @dr.tahabasheer2753 9 ปีที่แล้ว

      +Toni T800 you mean in PLL? I did, for my screen(1440*900) the out frequency about 106 Mhz. i made it 108 , or 104 these values are possible from my device frequency (27 MHz)

    • @dr.tahabasheer2753
      @dr.tahabasheer2753 9 ปีที่แล้ว

      +Toni T800 I've tried to see the RGB values in simulation, they didn't appear error of ( Failed to open design unit file "VGA_01.vo" in read mode). is that related? I didn't figure out what it mean either, however synthesizing was successful.

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      +Ph.D Student Perhaps it is because the freq should be 106.47Mhz and your freq is way too off. Maybe.
      If you can, try other resolutions where you can achieve clock freq. much closer.
      BTW, your board has also 50 Mhz clock source, see if you can generate better signal with it.
      PS
      Also make sure the BP and FP are right. I always take right data from this site:
      tinyvga.com/vga-timing

    • @dr.tahabasheer2753
      @dr.tahabasheer2753 9 ปีที่แล้ว

      +Toni T800 Thank you Toni. I used only one clock bit, how can I assign two clk bits to one clock pin?.
      However, I am using this web to get the info, you know , when the frequency far away the screen shows " Change the resolution to 1440*900" and screen going to sleep , but when I tried the 1.4 the screen just go black and still operating. Seems the R,G,B NOT shown.

  • @MegaGayathri
    @MegaGayathri 11 ปีที่แล้ว

    hello Anton ,thanks a lot for the tutoiral
    Can u please provide the source code in verilog for the same?

  • @clarisscrisol7134
    @clarisscrisol7134 8 ปีที่แล้ว

    what is the purpose of the libraries?

  • @dr.tahabasheer2753
    @dr.tahabasheer2753 8 ปีที่แล้ว

    Hi Anton, I just want to explain the problem, you didn't mention about blank signal , and also the VGA clk to be output taking its value from the FPGA clk, these are some resons why it didn't work with me... regards.

    • @jpcerqueiracesar
      @jpcerqueiracesar 8 ปีที่แล้ว

      +Ph.D Student Hello, you managed to make the project work in DE2-115? I'm trying on DE2, the same video resolution, but do not get hit. I am using 27MHz clock the FPGA, but it does not have two outs, how you resolved this issue?

    • @dr.tahabasheer2753
      @dr.tahabasheer2753 8 ปีที่แล้ว

      +João Paulo Fernandes de Cerqueira César No matter the resolution, most LCD monitors nowadays are self adjusted, however I worked with 800*600. you only need to drive the VGA clk which which is a pin in DE2 , you may use the PPL as Toni did. Be sure to utilize all the VGA pins ( Assign Values to them) .

    • @jpcerqueiracesar
      @jpcerqueiracesar 8 ปีที่แล้ว

      +Ph.D Student Thanks! How you assign the VGA_BLANK signal?

    • @jpcerqueiracesar
      @jpcerqueiracesar 8 ปีที่แล้ว

      +João Paulo Fernandes de Cerqueira César What value I need to put into this signal?

    • @dr.tahabasheer2753
      @dr.tahabasheer2753 8 ปีที่แล้ว

      +João Paulo Fernandes de Cerqueira César Blank = (videoh and videov) , videoh =1 when you are in horizantal visible pixels range ( I mean in places other than synchronization ones) same for videoh.

  • @lk608
    @lk608 9 ปีที่แล้ว

    Thank you Shia LaBeouf!

  • @kayleannklarkemission3496
    @kayleannklarkemission3496 7 ปีที่แล้ว

    Hello, can you make a tutorial in making a sudoku game in fpga? thank you it will be a great help. Thank you very much!

  • @ToniT800
    @ToniT800  11 ปีที่แล้ว

    Hello Veronica. I am sorry, but i only have experience with Altera IDE, so i can't help you with Xilinx.

  • @wilsonbenavides4260
    @wilsonbenavides4260 10 ปีที่แล้ว

    can help me with the PIN assignment..

  • @veronicacastiblanco
    @veronicacastiblanco 11 ปีที่แล้ว

    Hello Anton, thank you for your help... I need pll.vhd to xilinx. Can you help me? thanks!

  • @salmanfarsi2843
    @salmanfarsi2843 9 ปีที่แล้ว

    Hi, i am not able to get this code from the given site. Can you show me another way to get this? thanks

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      Salman Farsi Hi, check the new link in the video description.

    • @salmanfarsi2843
      @salmanfarsi2843 9 ปีที่แล้ว

      Toni T800 thanks man...

  • @ToniT800
    @ToniT800  11 ปีที่แล้ว +1

    Helo, the number of FP,BP and SP pixels depends on resolution etc.
    Here is more information
    tinyvga. com/vga-timing

  • @gabrielangelvalenciahuaman6104
    @gabrielangelvalenciahuaman6104 9 ปีที่แล้ว +1

    Thank you for your video,
    I have a problem i try to make it with cyclone V DE1-soc and this model don´t have Avalon PLL I try used Altera PLL clock input 50 Mhz and output 108Mhz but nothing T-T, please help me with this problem

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      Angel Gabriel Valencia Huaman
      hi.
      De1 and DE1SoC are a bit different.
      Take a look at this tutorial: th-cam.com/video/euw0ILLTEhM/w-d-xo.html
      I use DE1-SoC there, and have an example on how to use VGA output on this board.

    • @gabrielangelvalenciahuaman6104
      @gabrielangelvalenciahuaman6104 9 ปีที่แล้ว

      Toni T800 , thank you!
      you are de best :) I will try to do it right now...thank you very much! really

    • @Hato1992
      @Hato1992 9 ปีที่แล้ว

      Angel Gabriel Valencia Huaman I do this right now and had same problem. SO if it works for you, I'll be thankful for informations

    • @khawlamabrouki9675
      @khawlamabrouki9675 7 ปีที่แล้ว

      Hello
      I also work with a De1-SOC card.
      could you help me please.
      Thank you very much

  • @stephan6334
    @stephan6334 7 ปีที่แล้ว

    i love you

  • @gireeshvegi2932
    @gireeshvegi2932 6 ปีที่แล้ว

    may i have this is verilog

  • @skyler114
    @skyler114 7 ปีที่แล้ว

    Hey Toni,
    How did you know the display mode.
    I wanted to do 640x480 and am not sure what I should be looking up to figure mine out.
    Also, I am trying to do this on a DE2-115, so what areas would you say I should pay particular attention to change details on my board.

    • @ToniT800
      @ToniT800  7 ปีที่แล้ว

      Hello. Here is the specific timing for different resolution/formats:
      tinyvga.com/vga-timing

    • @skyler114
      @skyler114 7 ปีที่แล้ว

      Thanks, by the way, if I'm using a 50 MHz clock for the rest of my project is there a reason to use the 24MHz clock used here or can I switch easily to that (for 640 x 480 with the pixel padding it has 800 x 525 x 60 = 25.2 MHz so I switch to that as well right instead of 108 MHz).

    • @skylersaleebyan8863
      @skylersaleebyan8863 7 ปีที่แล้ว

      Something else that's really been throwing me off is why do you have a CLOCK_24 vector? Wouldnt STD_LOGIC suffice?

    • @ToniT800
      @ToniT800  7 ปีที่แล้ว

      The 24 MHz clock is defined as vector in the pin assignment file. CLOCK_24(0) is 180° shifted relativ to CLOCK_24(1), so when one has a rising edge, the other has a falling edge.

    • @skyler114
      @skyler114 7 ปีที่แล้ว

      Thanks Toni. Also, for your VSYNC you are using from 0 to 4 even though you said that between front porch and back porch you should force low, Does that mean if I'm using 640x480 where
      Front porch 10
      Sync pulse 2
      I should be doing
      IF(VPOS>9 AND VPOS10 AND VPOS9 AND VPOS

  • @pongpinit123
    @pongpinit123 9 ปีที่แล้ว

    ็How assignment editor of KEYS and SW at Qsys please !!!!!!!!!!

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      พงศ์พิณิช ทรงปั่น Use PIO component in Qsys!!!!!!!!!!!!!!!111

  • @faizmohammed629
    @faizmohammed629 5 ปีที่แล้ว

    de2 plz

  • @TheDarkYnder
    @TheDarkYnder 9 ปีที่แล้ว

    Hi, I think that the link are dead :/
    Thank you a lot for this tutorial anyway :)

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว +1

      ***** Hi, i finally found this project and uploaded it to github.Check the link in the description:)

    • @TheDarkYnder
      @TheDarkYnder 9 ปีที่แล้ว

      Toni T800 So nice! Many thanks ^^

  • @ToniT800
    @ToniT800  11 ปีที่แล้ว

    No, sry. I only code in VHDL.

  • @ETENA92
    @ETENA92 7 ปีที่แล้ว

    Антон привет мне нужна помощь отзовись

  • @companymen42
    @companymen42 6 ปีที่แล้ว

    WTF is with everyone and VHDL? This thic boi needs verilog yo.