FPGA Tutorial 1. Blinking LEDs on DE1 Altera Board

แชร์
ฝัง
  • เผยแพร่เมื่อ 17 ก.ย. 2024
  • In this tutorial you will learn the basics of FPGA programming: Blinking LEDs, counters, case and for statements, and many more...
    ------------------------------------
    SOURCE CODE HERE: snipt.org/AUA0
    ------------------------------------
    Enjoy.
    Music by
    Intro: "Nothing But The Cold" -OcularNebula (newgrounds.com)
    Background: "Neverending Galaxy" -VideoGameManiac (newgrounds.com)

ความคิดเห็น • 64

  • @SOREE5565
    @SOREE5565 9 ปีที่แล้ว +3

    This tutorial is well thought out and is of high quality in terms of production! One specific feature in this tutorial that is immensely helpful is that there are some errors/modifications in the process which are corrected in way smooth manner and does not distract from the content. This is very helpful because each error/modification is given enough explanation to create a learning experience and limit the amount of user errors produced when trying to recreate the experiment. It also helpful, and necessary to a degree given the technical content, that there is no voiceover narration. Instead, the video is narrated with a text bar at the bottom of screen. A few spelling/punctuation errors in text (not code), but still very legible! Thanks!

  • @EvanildoAlmeidatecnologia
    @EvanildoAlmeidatecnologia 7 ปีที่แล้ว

    Hello Anton, I'm sorry I'm using an electronic translator.
    Anton, I'm very glad to know your channel here on you tube, And happy to hear that you've allocated part of your time to teach us. Your videos are real tools that you are hungry to learn. Thank you very much.

  • @thitinungas-osoth7025
    @thitinungas-osoth7025 8 ปีที่แล้ว +3

    Your VDO Tutorials are very easy to understand. You showed how to code VHDL step by step that makes me understand deeply. As a FPGA amateur, I am very impressed for what you explained each condition. I would like to suggest you that you should explain by speaking with text, which I though I am lazy to switch my eyes between your codes and your explaination. Thank you so much.

  • @glassman047
    @glassman047 9 ปีที่แล้ว +3

    Excellent concise introduction! For someone like me who would rather look at the code than the latest shiny graphical editor, this was the best 19 minutes I've spent on a tutorial so far.

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว +1

      Thank you, check my other tutorials;)

  • @CxC2007
    @CxC2007 11 ปีที่แล้ว

    I just received and opened the box from china, it'a a cyclone IV board with plenty of accessories !!
    I will follow this tutorial for my very first time.

  • @RafaelAcurcio
    @RafaelAcurcio 9 ปีที่แล้ว +2

    Nice tutorial Toni, congrats. I just bought my DE2 and I'll start learning today :D

    • @Hokageee
      @Hokageee 9 ปีที่แล้ว

      wow o/

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว +1

      Well, then happy coding:)

  • @radexx
    @radexx 8 ปีที่แล้ว +2

    I don't think you need to check for RESULT to be >= 1024 to reset the signal manually. When you define a signal of type INTEGER RANGE 0 to (some power of two) it will most likely synthesize a register with log2(n) bits (in this case 10 bits), so if it's got 1023 and you increase it by 1 it should overflow and wrap around to 0 again. Same thing when it's got a value of 0 and you decrement it by 1.
    All in all, good tutorial for beginners ;-)

  • @oleksaoleksa2216
    @oleksaoleksa2216 7 ปีที่แล้ว

    Super!! I studying VHDL with Alterra this Summer semester and prepare myself for a first lab work!

  • @abrahimnassiri2780
    @abrahimnassiri2780 2 ปีที่แล้ว

    THUMS UP BROOO YOU ARE A LIFE SAVER

  • @yiyou6529
    @yiyou6529 8 ปีที่แล้ว

    It has been a while, I have been waiting for Tutorial 2;

  • @KimbrellBrad
    @KimbrellBrad 11 ปีที่แล้ว

    To answer your question on the way you put your video together - I think it was very well done and easy to follow. What are some of the practical uses that you plan to explore in the future? This thing looks like Arduino on steroids! Great stuff!

  • @pattymorfi2164
    @pattymorfi2164 7 ปีที่แล้ว

    Great video i'm studing FPGA at the moment and that was very helpfull. We appriciate :)

  • @michaelmartinez218
    @michaelmartinez218 9 ปีที่แล้ว +1

    Hey Toni, you are f***ing AWESOME! you explained it so well!!!!

  • @ivanv754
    @ivanv754 11 ปีที่แล้ว

    I like the Pokemon/Zelda style dialog box in the bottom.

  • @edtotman2952
    @edtotman2952 10 ปีที่แล้ว +6

    Great tutorial! Note at 16:00 when adding the second LEDR constant in the second process, the first LEDR delcaration has to be deleted or compile fails with "Can't resolve multiple constant drivers" error.

  • @michaelwerner6024
    @michaelwerner6024 11 ปีที่แล้ว

    Cool Tutorial... more of this...

  • @klemarcio
    @klemarcio 7 ปีที่แล้ว

    Very good. Please post more videos about fpga's please

  • @ToniT800
    @ToniT800  11 ปีที่แล้ว

    unfortunately iI lost the source file for first 3 videos, so i guess i will leave them this way. My next video will be without any music in background. The last video (VGA one) will be modified soon,

  • @tahmad20
    @tahmad20 10 ปีที่แล้ว

    another nice tutorial. Thanks

  • @CxC2007
    @CxC2007 11 ปีที่แล้ว

    Anton Used Cyclone II ........ it's very efective !

  • @cr6925
    @cr6925 10 ปีที่แล้ว

    Thank you! A great introduction and gives a "feel" for what writing with VHDL is like. I'm of a generation where we drew out our logic in schematic capture, so this is a very welcome alternative! Do you prefer VHDL over Verilog?

    • @ToniT800
      @ToniT800  10 ปีที่แล้ว

      Thank you very much. I prefer VHDL, it is more self evident to me than verilog:)
      Check my other tutorials;)
      I will do some more tutorials about Altera SoC (FPGA+ARM) soon;)

  • @glynnmason8205
    @glynnmason8205 ปีที่แล้ว

    i get this error can you help me what am i missing
    Error (287127): Text Design File syntax error: Text Design File contains a symbolic name where ASSERT, CONSTANT, DEFINE, DESIGN, FUNCTION, OPTIONS, PARAMETERS, SUBDESIGN, or TITLE was expected

  • @duongng8069
    @duongng8069 4 ปีที่แล้ว

    Man, you are awesome !!!

  • @deadfeed7551
    @deadfeed7551 9 ปีที่แล้ว

    I have the cyclone 2 starter board with DE1 using Quartus 2, v13.0..During setup I programmed the board with Active Serial Mode and now the program is obviously saved on the board whenever I turn it on. So now the lights don't run, do you know if there is a safe and simple way to reset the board ? Any help would be very much appreciated.

  • @icaroj
    @icaroj 10 ปีที่แล้ว

    Good tutorial man! thanks!

  • @TheKutia
    @TheKutia 10 ปีที่แล้ว +3

    Lemme get this streght, you draw a circuit, upload it and then it works as that circuit would irl?! if so omg, ima get one

  • @ToniT800
    @ToniT800  11 ปีที่แล้ว

    i will upload new tutorial in a hour or two, stay tuned;)

    • @mahnoorfatima331
      @mahnoorfatima331 6 ปีที่แล้ว

      Can you share the ..qpf file here, or send me a link to download it?

    • @gaaloulwalid6627
      @gaaloulwalid6627 3 ปีที่แล้ว

      hi toni i want to programm vhdl program for 4 led evry time when i press the key will the next led turnon and the other leds must turned off can you help me thank

  • @なぞフシギ
    @なぞフシギ 7 ปีที่แล้ว

    i got this kind of error with your code:-
    Error (10028): Can't resolve multiple constant drivers for net "LEDR[17]" at LED.vhd(22)
    what should i do?
    i tried rearrange your code into only one process but still did not succeed

  • @virgilio72
    @virgilio72 7 ปีที่แล้ว

    Your example looks easy and interesting. I'm fiddling with my Cyclone V and i cannot find a pin assignment file. But I cannot find your source code. By clicking on your link, a "page not found" message appears.

  • @mt-fh5yf
    @mt-fh5yf 9 ปีที่แล้ว

    hi there.great job!I would like to ask you something.
    Can you do this project on altera?
    project:for example ,if you press the button for five times,then the led will blink for five times.if you press 10 times,the the led will blink 10 times.
    Thanks, good luck...

  • @SuperMrLello
    @SuperMrLello 4 ปีที่แล้ว

    sorry but the source code is not available on the link provided by you

  • @dacres2002
    @dacres2002 11 ปีที่แล้ว

    Thats the description!! Arduino on steroids...haha

  • @MrMaestro14231
    @MrMaestro14231 8 ปีที่แล้ว +1

    Source code link is 404'd. Do you still have it?

  • @slap_my_hand
    @slap_my_hand 7 ปีที่แล้ว

    Wouldn't it be better to put the prescaler in a different process?

  • @bilaljamjama
    @bilaljamjama 10 ปีที่แล้ว

    tnx man ;) we need a tutorial how to generate a sound with fpga ;)

  • @patelkeyurkumar6027
    @patelkeyurkumar6027 7 ปีที่แล้ว

    plsease tell me which link on to download this software

  • @tanyagupta3034
    @tanyagupta3034 9 ปีที่แล้ว

    Can somebody please tell what is the song in the starting?

    • @ToniT800
      @ToniT800  9 ปีที่แล้ว

      tanya gupta The music is in the video description.

  • @Wilksey37
    @Wilksey37 11 ปีที่แล้ว

    Excellent video! I tried to thumbs up but it would't let me (ratings disabled!)

  • @MikeVella
    @MikeVella 7 ปีที่แล้ว

    I really enjoyed this video, it made things very clear. Could you put the code on github? the snipt is gone.

    • @ToniT800
      @ToniT800  7 ปีที่แล้ว +2

      Hi,
      The snipt is gone, but you can use the wayback machine to access the code:
      web.archive.org/web/20130815064827/snipt.org/AUA0

    • @MikeVella
      @MikeVella 7 ปีที่แล้ว

      That is great, thank you. Are you planning to make any more FPGA tutorials? I am new to FPGAs and two things I feel I am lacking which would be helpful are: 1. A bit more on VHDL, what the basic constructs are etc.. and 2. More about using the Altera tools, especially without the GUI - what the command line tools are, what files they produce etc.. I know these things are available from other sources but you explain things particularly well.

  • @dacres2002
    @dacres2002 11 ปีที่แล้ว

    I like the music. Without it it will be so plane.

  • @NguyenThanh-uj9fe
    @NguyenThanh-uj9fe 10 ปีที่แล้ว

    hey bro can you upload your assignment file ?

  • @celineflavier
    @celineflavier 7 ปีที่แล้ว

    Source Code link is broken.

  • @ovi2wise
    @ovi2wise 10 ปีที่แล้ว

    aw man, snipt dont work. but its ok, just remake my own source code. thanks for the tutorial

  • @bacemzouali7065
    @bacemzouali7065 5 ปีที่แล้ว

    5/5

  • @thamitommy3621
    @thamitommy3621 5 ปีที่แล้ว

    I'm trying to make write VHDL code that switch on an LED after 20s but it does not switch using this below code:
    library ieee;
    use ieee.std_logic_1164.all;
    entity check is
    port(clk : in std_logic;
    led : out std_logic ;= '0');
    end check;
    architecture arc of check is
    signal counter : integer range 0 to 100e6;
    begin
    process(clk)
    begin
    if rising_edge(clk) then
    if counter

  • @roozbeh5828
    @roozbeh5828 8 ปีที่แล้ว

    there is no source code anymore

    • @ToniT800
      @ToniT800  8 ปีที่แล้ว

      +roozbeh halvaei Yes, unfortunately the website on which I hosted source is gone, and I don't have the code anymore. Such is life.

    • @sommmen
      @sommmen 8 ปีที่แล้ว +2

      library ieee;
      use ieee.std_logic_1164.all;
      use ieee.numeric_std.all;

      ENTITY LED IS
      PORT(
      CLOCK_50: IN STD_LOGIC;
      LEDG: OUT STD_LOGIC_VECTOR(7 downto 0);
      LEDR:OUT STD_LOGIC_VECTOR(9 downto 0);
      KEY:IN STD_LOGIC_VECTOR(3 downto 0)
      );
      END LED;

      ARCHITECTURE COUNTER OF LED IS
      SIGNAL PRESCALER : INTEGER RANGE 0 TO 500000:=0;
      SIGNAL RESULT: INTEGER RANGE 0 TO 1023:=0;
      BEGIN
      PROCESS(CLOCK_50)
      BEGIN
      IF(CLOCK_50'EVENT AND CLOCK_50='1')THEN
      IF(PRESCALER

    • @sommmen
      @sommmen 8 ปีที่แล้ว

      waybackmachine is super handy for these kind of things!

    • @ToniT800
      @ToniT800  8 ปีที่แล้ว

      Thanx!:)

    • @Enginmaster97
      @Enginmaster97 7 ปีที่แล้ว

      how to use waybackmachine to get the source code