VHDL by VHDLwhiz VSCode plugin

แชร์
ฝัง
  • เผยแพร่เมื่อ 28 ส.ค. 2024
  • This is a demo of my new VHDL plugin for the Microsoft Visual Studio Code editor.
    01:04 Installation
    01:43 Demo begins
    Snippet demos:
    01:52 VHDL module template
    02:33 VHDL testbench template
    03:59 ModelSim run.do script template
    06:54 Assert
    07:23 Report
    07:41 Constant
    08:11 Signal
    08:21 Std_logic_vector
    09:10 Integer
    09:33 Array type
    09:41 FSM enumerated state type
    10:20 Process
    10:37 FSM process
    11:23 When
    11:37 If
    12:08 Elsif
    12:22 Else
    12:35 Increment signal
    12:58 Decrement signal
    12:21 Case
    13:42 For loop
    13:57 While loop
    GitHub:
    github.com/jon...
    Visual Studio Marketplace:
    marketplace.vi...
    Installation:
    Search for "vhdlwhiz.vhdl-by-vhdlwhiz" in the Extension view (Ctrl+Shift+X) in VSCode.

ความคิดเห็น • 44

  • @kolojoey
    @kolojoey 3 ปีที่แล้ว +4

    Thank you so much, Jonas! I'm a new vhdl engineer and I love your videos. I will absolutely be using this!

  • @kevinzaka7029
    @kevinzaka7029 2 ปีที่แล้ว

    As a student who was really into digital design in my mid college years but only recently had to seriously work with it, I really appreciate this extension. The more I work with Quartus and ModelSIM , the more I realize how much of a mess they are. This makes it a lot more bearable and a great video to go along with it!

  • @kfirmaymon84
    @kfirmaymon84 3 หลายเดือนก่อน

    Wow this is amazing,
    Thank you so much for this.

  • @mattbass4807
    @mattbass4807 3 ปีที่แล้ว

    You are saving my entire college class so much time this extension is amazing thank you so much

    • @VHDLwhiz
      @VHDLwhiz  3 ปีที่แล้ว

      That's great to hear, Matt! I have ideas for more features that I will add.

  • @rileychh
    @rileychh 3 ปีที่แล้ว +1

    Thank you! I'm going to attend a VHDL contest, and this means to me so much.

    • @VHDLwhiz
      @VHDLwhiz  3 ปีที่แล้ว +1

      That's great. Good luck in the contest. You can do it! 🙂

  • @vadrif-draco
    @vadrif-draco 3 ปีที่แล้ว

    Can tell from the video you're excited sharing this with us! It is definitely handy and very convenient, thank you.

  • @calebcansley885
    @calebcansley885 4 ปีที่แล้ว +1

    Go Jonas! The one stop VHDL shop!

  • @federicocirelli71
    @federicocirelli71 3 ปีที่แล้ว

    Thanks so much, I'm learning vhdl from zero at university and I think this will give me a great boost!

    • @VHDLwhiz
      @VHDLwhiz  3 ปีที่แล้ว

      That's great to hear! And thank you for the kind review.

    • @MrAnanas997
      @MrAnanas997 3 ปีที่แล้ว +1

      geraci

    • @federicocirelli71
      @federicocirelli71 3 ปีที่แล้ว

      @@MrAnanas997 come hai fatto ad indovinare?

    • @ananas9795
      @ananas9795 3 ปีที่แล้ว

      @@federicocirelli71 perché stiamo seguendo lo stesso corso🤣

  • @airbeast5671
    @airbeast5671 4 ปีที่แล้ว +1

    Great job! Keep doing that. Although there are promising tools and plugins like VHDL_LS and others, there is still a serious lack of a serious open source tool for editing VHDL. Especially beginner friendly;)

    • @VHDLwhiz
      @VHDLwhiz  4 ปีที่แล้ว

      Thanks! It's good that there are many alternatives to choose from.

  • @jackrubin
    @jackrubin 4 ปีที่แล้ว +1

    Much appreciated, thank you.

  • @eliklivnat9257
    @eliklivnat9257 4 ปีที่แล้ว +2

    Exactly what I was waiting for since I use VSCODE for many other languages, Thanks Jonas.

    • @VHDLwhiz
      @VHDLwhiz  4 ปีที่แล้ว

      Thanks, Elik. That's good to hear. I will continue to update the plugin and make it better.

  • @yunwang6824
    @yunwang6824 2 ปีที่แล้ว +2

    After I install the extension, my .vhd code has syntax color but the .do file does not have syntax color as in your video. Is something wrong with my setup?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว +3

      DO files are really TCL files. You need to install a TCL syntax plugin and add some lines to VSCode's settings JSON file to make it treat DO files as TCL:
      {
      "some_setting": custom_value,
      ...
      "files.associations": {
      "*.do": "tcl"
      }
      }

  • @mehmetburakaykenar
    @mehmetburakaykenar 2 ปีที่แล้ว

    very helpful thanks. which Color Theme are you using?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      The theme is "Dark+ (default dark)" - the theme you get when you install VSCode and don't change to a different theme.

  • @dimitricompagnon6126
    @dimitricompagnon6126 3 ปีที่แล้ว

    Brilliant, thanks !

  • @weetabixharry
    @weetabixharry 3 ปีที่แล้ว +2

    Would it be possible to highlight standard library names in a different color (like in Notepad++)? In Notepad++'s langs.model.xml, I can see the following:
    std ieee work standard textio std_logic_1164 std_logic_arith std_logic_misc std_logic_signed std_logic_textio std_logic_unsigned numeric_bit numeric_std math_complex math_real vital_primitives vital_timing

    • @VHDLwhiz
      @VHDLwhiz  3 ปีที่แล้ว

      I don't want to change this for everyone who may not want this feature. But I've added a new syntax scope to the regex so that you can change your settings.json file to get this.
      Update to release 1.2.11 (should happen automatically in VSCode)
      See the change on GitHub:
      github.com/jonasjj/awesome-vhdl/commit/5e9306c9487145ae2bc3c3105bbfcc479b0199ab
      Add something like this to VSCode's settings.json file:
      "editor.tokenColorCustomizations": {
      "[Default Dark+]": {
      "textMateRules": [
      {
      "name": "VHDL Standard library",
      "scope": "standard.library.language.vhdl",
      "settings": {
      "foreground": "#00FFFF"
      }
      }
      ]
      }
      }

    • @weetabixharry
      @weetabixharry 3 ปีที่แล้ว +1

      @@VHDLwhiz That is perfect - thank you very much! Small details like this really make a big difference. Also, I'm a big fan of your website - your VHDL-2019 summary article is, I think, the best resource available anywhere right now. Keep up the great work!

  • @AdarshChandruofficial
    @AdarshChandruofficial 3 ปีที่แล้ว

    Fucking freaking brilliant!!!!

  • @efeberkayyitim2435
    @efeberkayyitim2435 2 ปีที่แล้ว

    Great job. In emacs-vhdl there is a keyboard shortcut to beautify the buffer, which does whitespace cleanup, indentation, alignment, and case fixing on the open window. Is there something like that in VSCode or in this plugin? Thanks.

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว +1

      No, but I recommend the "VHDL Formatter" plugin from Vinrobot for that. You can make changes to suit your coding style in the settings JSON file (upper/lower case, etc.).

  • @kaungminkhant9790
    @kaungminkhant9790 3 ปีที่แล้ว

    it would be nice if you make a tutorial for making these kinds of plugin or extensions.

  • @user-mz5rl5uq8j
    @user-mz5rl5uq8j ปีที่แล้ว +1

    can you run vhdl code in vscode? I get an error saying that the language is not supported

    • @VHDLwhiz
      @VHDLwhiz  ปีที่แล้ว

      As far as I know, no plugin will allow you to run a VHDL simulation in VSCode and step through the code in the GUI like you can for Python, Java, and other programming languages.
      Perhaps it's because the most popular VHDL simulators don't have an adapter protocol that VSCode can attach to. Or maybe it's just because VHDL isn't used as widely as the previously mentioned languages, and nobody has developed such a plugin.
      The VHDLwhiz plugin only gives you syntax highlighting and snippets that will create common code constructs for you. For example, open an empty .vhd file and type 'vhdl' + Enter to use the standard template or 'prosr' + Enter to create a synchronous process with reset.
      If you are new to VHDL, I recommend getting a free version of Questa, previously known as 'ModelSim', and start experimenting with the GUI. You can still write the code in VSCode.
      Check out my guide for how to install Questa legally and for free here:
      vhdlwhiz.com/free-vhdl-simulator-alternatives/#questa-intel-fpga-starter-edition-modelsim
      You can go through my free VHDL course to get started:
      vhdlwhiz.com/basic-vhdl-tutorials/
      Or my newer, premium beginner's course:
      vhdlwhiz.com/product/course-fpga-and-vhdl-fast-track/
      If you are really serious about learning to use VHDL effectively, you can join my VHDLwhiz Membership portal, where you can access lots of courses and resources:
      academy.vhdlwhiz.com/membership
      By the way, you can run a VHDL simulation in the VSCode terminal. That's what professionals prefer anyway. A good way to do that is to use the VUnit VHDL framework.
      I have a course about that too:
      vhdlwhiz.com/product/course-vunit-testbench-and-bfm-design/
      (Also available in the VHDLwhiz Membership)

  • @rilleaa8207
    @rilleaa8207 2 ปีที่แล้ว

    Is it possible to get the plugin to work with intellisense so you get autocomplete suggestion for signal/variable names?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      It doesn't have built-in Intellisense, but you can achieve something similar by using an additional extension:
      marketplace.visualstudio.com/items?itemName=Atishay-Jain.All-Autocomplete
      The "All Autocomplete" extension will suggest most object names that you have already written in your code. I use it a lot.

  • @AhmadAsmndr
    @AhmadAsmndr 2 ปีที่แล้ว

    Hello and thank you for your effort, but the extension doesn't work with current Version of VSCode 1.70.1. I don't get any support from it!!

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      I tried updating VScode now to version 1.71.0, and it still works for me. But it doesn't have "intellisense" built in. It doesn't give code completion like that. It provides syntax highlighting and snippets. For example, if you type "prosr" and hit Enter, it will create a clocked process for you.
      If you want intellisense (auto-completion), you can install this additional plugin:
      marketplace.visualstudio.com/items?itemName=Atishay-Jain.All-Autocomplete
      I works well and I use it too.

  • @0xFFFFFFFFFFFFFFFFFFFFFFFFF
    @0xFFFFFFFFFFFFFFFFFFFFFFFFF 2 ปีที่แล้ว

    Is there a way to edit the vhdltb code snippet/template?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      You can edit the 'vhdltb' snippet in the "vhdl-snippets.json" file found in this folder on Windows:
      %USERPROFILE%\.vscode\extensions\vhdlwhiz.vhdl-by-vhdlwhiz-1.2.15\snippets\
      (Replace "1.2.15" with the current version number if of the extension if you are doing this at a later time).
      But beware that the file will be overwritten the next time VSCode updates the VHDLwhiz extension, so take a backup of any changes you make.

  • @luisspader6508
    @luisspader6508 2 ปีที่แล้ว

    How to comment an entire block?

    • @VHDLwhiz
      @VHDLwhiz  2 ปีที่แล้ว

      That should be Ctrl + ' (single quote). But it depends on your VSCode setting (File->Preferences->Keyboard Shortcuts->Toggle Line Comment).
      By the way, there is no block comment quote in VHDL, so that command will prefix every line with -- (double dash).

  • @nileshparmar2561
    @nileshparmar2561 10 หลายเดือนก่อน

    will it work for vivado also ??

    • @VHDLwhiz
      @VHDLwhiz  10 หลายเดือนก่อน

      The plugin has nothing to do with Vivado or any FPGA tool. It only provides syntax highlighting for VHDL and code snippets for common tasks in the VSCode editor.
      Vivado's built-in editor already has syntax highlighting for VHDL.

    • @VHDLwhiz
      @VHDLwhiz  10 หลายเดือนก่อน

      The ModelSim run script snippet only works with ModelSim or Questa