Implementation of GPIO ( i.e., buttons, LED, and Pmod ) via EMIO on ZedBoard

แชร์
ฝัง
  • เผยแพร่เมื่อ 13 ก.ย. 2024
  • In this tutorial, ZedBoard is used to implement GPIO via EMIO. Here, the GPIOs i.e., 5 buttons, 8 LEDs, 8 Slide Swithces, and Pmods which are accessible in PS via PL of ZedBoard are used to implement the EMIO concept.
    For vivado TCL files:github.com/asl...
    For SDK c files:github.com/asl...
    For more information visit: fpgawork.com/

ความคิดเห็น • 15

  • @fedorhotabich
    @fedorhotabich 4 ปีที่แล้ว +1

    Very helpful, clear example of using PS drivers to handle PL peripherals through EMIO, thank you.

  • @e-learningacademy5609
    @e-learningacademy5609 5 ปีที่แล้ว +6

    Thanks for the valuable video, keep on the good work bro and we are looking forward to more videos.

  • @letstalkscience6494
    @letstalkscience6494 11 หลายเดือนก่อน

    Thanks a lot for these great videos...very helpful to understand the EMIO and MIO use for GPIO Implementation.

  • @sheronrabolonne6253
    @sheronrabolonne6253 ปีที่แล้ว

    Thank you, it's very clear how to define MIO and EMIOs with SDK and .xdc file.

  • @leejohnson1219
    @leejohnson1219 4 ปีที่แล้ว +2

    Thanks for the tutorial, it really helped

  • @sakshamkiroriwal3234
    @sakshamkiroriwal3234 3 ปีที่แล้ว

    You are seriously great. Your channel was such a huge help, I can't explain. Really thanks a lot. I have become a permanent subscriber of your channel for sure.

  • @petalinux20
    @petalinux20 3 ปีที่แล้ว

    It was really helpful video,
    Thank You!

  • @nandoperu100
    @nandoperu100 4 ปีที่แล้ว

    Very good video..thank you !!!

  • @diegogarridomendoza2828
    @diegogarridomendoza2828 2 ปีที่แล้ว

    Wonderful! Thanks so much :-)

  • @lorenrus
    @lorenrus 3 ปีที่แล้ว

    Nice video

  • @jajajaj666
    @jajajaj666 2 ปีที่แล้ว

    Are we using PL here?
    thanks, good video.

  • @nandoperu100
    @nandoperu100 4 ปีที่แล้ว

    Could you make a video session explain the control of a push bottom (PL) turn on a Led (PS) and vice versa...thanks

  • @SciHeartJourney
    @SciHeartJourney 3 ปีที่แล้ว

    Thank you! I think I get it now XGpio is for the extended GPIO and Gpio is for the ordinary i/o. But the Minized has a weird pinout: Data0_N and Data0_P. How are these numbered? Does P come before N in the numbering scheme?

  • @sriharshagadiyaram8122
    @sriharshagadiyaram8122 2 ปีที่แล้ว

    Hi Aslaam! First of all a huge thanks to you for your contribution to FPGA Community. I have been trying to replicate the exact same thing what you are explaining. but I am getting a bitstream error. it says "[DRC NSTD-1] Unspecified I/O standard: 45 out of 175 I/O standard (IOSTANDARD) value 'DEFAULT', instead of user assigned specific value." This kind of error usually occurs when appropriately pins are not mapped to the inouts. but in this case we have made an external to GPIO_0.

    • @tintinxyz
      @tintinxyz 2 ปีที่แล้ว

      change the DEFAULT value to the appropriate voltage standard such as LVCMOS33 and check.