VHDL Lecture 1 VHDL Basics

แชร์
ฝัง
  • เผยแพร่เมื่อ 10 ก.ค. 2024
  • Welcome to Eduvance Social.
    Our channel has lecture series to make the process of getting started with technologies easy and fun so you can make interesting projects and products.
    The channel hosts series of lectures to get started with different technologies covering topics like Programmable system on chip (PSoC), ARM mbed, Arduino, FPGA design using VHDL, VLSI design using Electric, Spice modelling using LT spice, PCB designing using Eagle, Robotics and much more to come.
    Do like and subscribe to our channel.
    Keep learning! Keep Eduvancing!

ความคิดเห็น • 89

  • @amolagarwal5336
    @amolagarwal5336 6 ปีที่แล้ว +146

    very clear explanation but a bit slow. watch at 1.5x

  • @khurramkamran9575
    @khurramkamran9575 6 ปีที่แล้ว +114

    You are the reason why I can't drop out of E.Engineering

  • @bourbadjolloff3998
    @bourbadjolloff3998 8 ปีที่แล้ว +65

    I love all your Videos. Greetings from Germany

  • @Brunot41
    @Brunot41 7 ปีที่แล้ว +29

    I have a minimal understanding of any coding, but I finished this video feeling like I really understood. Thank you so much!

  • @manjeetsingh7956
    @manjeetsingh7956 7 ปีที่แล้ว +4

    super clarity of voice and concept, what a deep intensity of knowledge simply the best!!!!!!!

  • @pwnedevil
    @pwnedevil 7 ปีที่แล้ว +2

    Great explanation, just reviewing my vhdl. Thank you.

  • @yusufshaik9179
    @yusufshaik9179 7 ปีที่แล้ว +6

    better style of explanation. Thanks a lot :)

  • @PratikPrajapati84
    @PratikPrajapati84 6 ปีที่แล้ว +2

    Awesome explanation! Thanks for your work.

  • @AZIZIQBAL6
    @AZIZIQBAL6 7 ปีที่แล้ว +1

    Thanks for your lecture sir, very help me to understand VHDL languange.

  • @93Mosfet
    @93Mosfet 6 ปีที่แล้ว +7

    thank you very very very very very very verey much!!!!! Great course!!!!!!!!!!! Greetings from Italy

  • @RenatoStupar
    @RenatoStupar 6 ปีที่แล้ว +2

    Great! Thank you very much, this was brilliant!

  • @ZaidAli-gp7ms
    @ZaidAli-gp7ms 7 ปีที่แล้ว +14

    excellent lecture
    made a tough topic so easy and precise to understand
    thanks a lot

  • @rahulbhati9748
    @rahulbhati9748 5 ปีที่แล้ว

    Thank you sir
    Easily explained lecture

  • @Amit_0710
    @Amit_0710 7 ปีที่แล้ว +14

    Really appreciate ur work.. thank you so much sir.. looking forward for more lectures from you..

    • @EDUVANCE
      @EDUVANCE  7 ปีที่แล้ว +2

      +Amit Pachpande New lectures have been added for VHDL. Do check them out on out channel.

  • @geetanjalimoorjani5119
    @geetanjalimoorjani5119 7 ปีที่แล้ว

    Nice way of explaining. Appreciate it !

  • @windydoo8618
    @windydoo8618 6 ปีที่แล้ว +1

    Much appreciated for your lecture 1

  • @rajeevmehta8533
    @rajeevmehta8533 6 ปีที่แล้ว +1

    Thanks very much for this wonderful lecture

  • @rohitbhat5065
    @rohitbhat5065 7 ปีที่แล้ว +1

    absolutely grt video..i cleared my all doubts

  • @MW2ONLINEGAMER100
    @MW2ONLINEGAMER100 6 ปีที่แล้ว

    really well thought out explanation thank you so much

  • @hakantemiz6066
    @hakantemiz6066 7 ปีที่แล้ว +5

    Helped me a lot. Greetings from Germany.

  • @eduardocardoso8062
    @eduardocardoso8062 6 ปีที่แล้ว

    Thank you for your video it was very enlightening and greetings from Brazil.

  • @miteshjain56
    @miteshjain56 7 ปีที่แล้ว +1

    excellent video...Thanks sir...

  • @Orcel1994
    @Orcel1994 6 ปีที่แล้ว

    Best videos ever...i support you guys, please more videos!!!!!!!!!

  • @massnass2071
    @massnass2071 7 ปีที่แล้ว +19

    great lecture .... but plz note that there is a couple of errors in it like in min 26:08 the A2: AND2 is incorrect it's AND1 not AND2 ..... also during your explanations in that time your cross mixed the information about the inputs and outputs between xor and the AND ..... but great job non the less

    • @EDUVANCE
      @EDUVANCE  7 ปีที่แล้ว +21

      Yes. There is error inside begin. Following should be the statement :
      A1 : AND1 port map (A, B, CARRY); (It should be AND1 instead of AND2)
      Also here, A will be mapped to X, B to Y and CARRY to Z
      Similarly for X1 : XOR1 port map (A, B, SUM);
      A will be mapped to P, B will be mapped to Q and SUM to R.
      Apologies for the inconvenience.

  • @adeelkhan0000
    @adeelkhan0000 7 ปีที่แล้ว +1

    great videos thanx

  • @chassheppard
    @chassheppard 6 ปีที่แล้ว

    Fantastic lecture, much thanks

  • @shenoyshridhar
    @shenoyshridhar 7 ปีที่แล้ว

    good introduction. thanks a lot

  • @OliverJoshuaJacob
    @OliverJoshuaJacob 7 ปีที่แล้ว

    Thanks. Your videos have been of great help to me.

  • @jchand149141
    @jchand149141 6 ปีที่แล้ว

    Great video, helped me alot with this topic

  • @tristantierney3969
    @tristantierney3969 7 ปีที่แล้ว +2

    Wonderful.

  • @syamalabojja1365
    @syamalabojja1365 7 ปีที่แล้ว

    excellent teaching sir.supperb clarity

  • @anitamhatre9417
    @anitamhatre9417 6 ปีที่แล้ว

    i like your all lecture. all topic are precise clearly

  • @arletcastillo9872
    @arletcastillo9872 6 ปีที่แล้ว

    excellent video!, you're explanation is very clear :)

  • @arnabchoudhuri6193
    @arnabchoudhuri6193 6 ปีที่แล้ว +1

    you have just showed what teaching style have we lost in our institutes

  • @kindjupiter
    @kindjupiter 6 ปีที่แล้ว

    thank you sir for elegant videos

  • @marwan819
    @marwan819 2 ปีที่แล้ว

    Thank you very much Sir for your helpful video.
    Greeting from Iraq.

  • @moheshwarimony2637
    @moheshwarimony2637 6 ปีที่แล้ว

    Thank u very much Sir...........We expect more videos on new topics..............!!

  • @priyankathakur6172
    @priyankathakur6172 7 ปีที่แล้ว +1

    Excellent Video.

  • @mikaeilslm5177
    @mikaeilslm5177 6 ปีที่แล้ว +1

    thank you so much ,that was very usefull and clearly

  • @singhman1026
    @singhman1026 6 ปีที่แล้ว +1

    nice work there...........

  • @johncornner5419
    @johncornner5419 6 ปีที่แล้ว +34

    I'm studying computer engineering, this language and its logic is not so hard to understand when one has the background of C and C++ programming

    • @EDUVANCE
      @EDUVANCE  6 ปีที่แล้ว +20

      +John Cornner
      Hi John
      Just a thought with respect to HDL and c . The objective of an HDL is to design hardware. It is always good to think of the hardware you want to design and how an HDL can help you do so.
      Thinking of an HDL from the perspective of a programming language might give some issues when it comes to simulation and the resultant hardware synthesized.
      Cheers

  • @akhil7788
    @akhil7788 6 ปีที่แล้ว

    Good work.. Keep it up 😃

  • @zedlepplin9450
    @zedlepplin9450 7 ปีที่แล้ว

    Thank you sir!

  • @kibromhagos1957
    @kibromhagos1957 6 ปีที่แล้ว

    ThanQ great work

  • @manishkumarsingh5876
    @manishkumarsingh5876 6 ปีที่แล้ว

    Very helpful video sir.

  • @lpyadav9723
    @lpyadav9723 7 ปีที่แล้ว

    excellent explanation...

  • @arnavsingh381
    @arnavsingh381 6 ปีที่แล้ว +1

    thks man u made it easy thks.

  • @mayarahayu5102
    @mayarahayu5102 6 ปีที่แล้ว

    thx.. this is really helpful for me :)

  • @haouetaymen3695
    @haouetaymen3695 5 ปีที่แล้ว +1

    Thank you Rakesh

  • @tharanysivapaskaran8163
    @tharanysivapaskaran8163 6 ปีที่แล้ว

    thank u so much...it is very easy to understand

  • @Ujala_Bharti
    @Ujala_Bharti 2 ปีที่แล้ว

    thank u so much.......u explained very nicely!!!

  • @jaimilpatel2823
    @jaimilpatel2823 6 ปีที่แล้ว

    Thankyou so much!

  • @krishnasaji8288
    @krishnasaji8288 7 ปีที่แล้ว

    Thank you Sir...

  • @kishorekrishkrish6539
    @kishorekrishkrish6539 6 ปีที่แล้ว

    excellent video

  • @sajibnandi7172
    @sajibnandi7172 7 ปีที่แล้ว

    thank you very much sir

  • @alirazasaleem3919
    @alirazasaleem3919 7 ปีที่แล้ว

    Thanks alot.

  • @BARaaz04
    @BARaaz04 5 ปีที่แล้ว

    very well explained

  • @kembocapelsii
    @kembocapelsii 5 ปีที่แล้ว

    the best tutorial

  • @saveearthnature5520
    @saveearthnature5520 6 ปีที่แล้ว +2

    Really a great lecture.... Sir give me tha link of that video in which application of VHDL language are described 😊😊😊😊😊😊

  • @josephinlatha3701
    @josephinlatha3701 6 ปีที่แล้ว +1

    super sir.. I want gate level modeling

  • @Jelkartin
    @Jelkartin 5 ปีที่แล้ว

    Thank you. :)

  • @kundanvaghela3883
    @kundanvaghela3883 7 ปีที่แล้ว

    thank u sir...

  • @gauravthote9876
    @gauravthote9876 6 ปีที่แล้ว

    good lecture

  • @moinkhan-xd6pe
    @moinkhan-xd6pe 6 ปีที่แล้ว +6

    Masha Allah great work sir.. you made it very easy to understand.. have you made lectures regarding to C# visual studio?

  • @Value_Geek9447
    @Value_Geek9447 5 ปีที่แล้ว

    awesome

  • @trojanhorse2003
    @trojanhorse2003 5 ปีที่แล้ว +3

    Nice video but I recommend u to watch in 1.5x speed

  • @ederm2111
    @ederm2111 7 ปีที่แล้ว +1

    The explanation is very good, however, I struggle a little with some words that he was writing, and I would have liked that he would use a print screen with the rest of the examples just as he did with the first one, still a really good video thanks

    • @EDUVANCE
      @EDUVANCE  7 ปีที่แล้ว

      Thank you for the feedback.
      We will definitely work on it.

  • @kannavsharma8453
    @kannavsharma8453 6 ปีที่แล้ว

    which simulator had u used in labs

  • @jigarprajapati9926
    @jigarprajapati9926 5 ปีที่แล้ว +4

    Please upload new videos

  • @shade5554
    @shade5554 5 ปีที่แล้ว +1

    set playback speed to ×1.25 save time

  • @prashantsaxena2477
    @prashantsaxena2477 6 ปีที่แล้ว +3

    so if i want to learn VHDL in detail, is this series of videos appropriate?

    • @EDUVANCE
      @EDUVANCE  6 ปีที่แล้ว +1

      +Prashant Saxena yes

  • @suryadasari3865
    @suryadasari3865 7 ปีที่แล้ว

    can i get a vhdl code to display the position of 1's for 8 bit number

  • @saxomojarf
    @saxomojarf 7 ปีที่แล้ว

    Making sure I understand this... the half-adder structural style created XOR and AND blocks but didn't yet assign a function or actual behavior. Is this correct?

    • @EDUVANCE
      @EDUVANCE  7 ปีที่แล้ว

      Yes to implement the half adder using the structural style of modelling we first created XOR and AND components.
      Then, to implement the half adder we used these components by using the keyword port map. The input and output of these components are mapped to those of half adder.

  • @nomanCyclewala
    @nomanCyclewala 7 ปีที่แล้ว

    hello sir. which software would you suggest to do VHDL simulation practice for beginners

    • @EDUVANCE
      @EDUVANCE  6 ปีที่แล้ว

      You can use Xilinx ISE Webpack 14.7

  • @uddeshyaupadhyay7655
    @uddeshyaupadhyay7655 7 ปีที่แล้ว +1

    where to code in VHDL? Is there any software/editor for ubuntu? What is the final output of the code?

    • @EDUVANCE
      @EDUVANCE  7 ปีที่แล้ว

      Yes. You can install Xilinx ISE to code in VHDL.
      You implement a half adder successfully.

  • @joset3102
    @joset3102 6 ปีที่แล้ว

    Whats the difference between behavioral and structural circuit

    • @EDUVANCE
      @EDUVANCE  6 ปีที่แล้ว

      Taking the example of half adder as stated in the video. The structural implementation of Half Adder circuit was made using smaller components, which were And gate and Exor gate. Then we did port mapping of inputs and outputs to the respective components and designed it to function as a half adder.
      For implementing half adder using behavioral implementation, you will describe the behavior of your circuit. It means you state that when A = 0 and B = 0 your Sum output should be 0 and Carry should be 0 , when A = 0 and B = 1 your Sum output should be 1 and Carry should be 0 and so on. You can use if-else structure, case structure or with select structure to create such designs.
      You can refer following video link that explains behavioral and structural Implementation - th-cam.com/video/xYo92g3gEoI/w-d-xo.html

  • @ajiths1689
    @ajiths1689 7 ปีที่แล้ว

    Thank you for giving me a brief introduction of vhdl entity and architecture >> concepts

  • @debasishkar761
    @debasishkar761 7 ปีที่แล้ว

    howto comment a block of code in vhdl.................any suggestion will be appreciated

    • @EDUVANCE
      @EDUVANCE  7 ปีที่แล้ว

      Step 1 : Select the block of code you want to comment.
      Step 2 : Right click and go to comments, click on selection, the code will be commented.

    • @debasishkar761
      @debasishkar761 7 ปีที่แล้ว

      thanks for that help