Creating your first FPGA design in Vivado

แชร์
ฝัง
  • เผยแพร่เมื่อ 27 ส.ค. 2024

ความคิดเห็น • 126

  • @EdwardPie
    @EdwardPie หลายเดือนก่อน

    Here is me thanking you in 2024 for making this video. I searched hours but couldn't find a better one than this. Thank you!!!

  • @jeffreyhymas6803
    @jeffreyhymas6803 4 ปีที่แล้ว +17

    I spent probably an hour trying to find a video like this. Thank you so much for the all hard work that went into this. I’m really hoping you have more.

  • @j3rmz08
    @j3rmz08 4 ปีที่แล้ว +10

    This video was great btw. Really well made, very informative, and super easy to follow. I've programmed FPGA's before but never with Vivado so this was a great way to introduce me to the way the program operates. Much appreciated.

    • @fpgatherapy
      @fpgatherapy  4 ปีที่แล้ว +3

      You're welcome :) I'm very glad to hear this video was helpful!

  • @sudeepkumardhurua3213
    @sudeepkumardhurua3213 4 ปีที่แล้ว +2

    Thank you, During my bachelor degree I didn’t have this much clarity. Felt happy after seeing this and getting completely clear.

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @aamirbadershah887
    @aamirbadershah887 3 ปีที่แล้ว +1

    Hats off to you man. After watching about 20-30 videos on Xilinx I couldnt find a video that could get me started with basics. Your video just did that. I am on a journey to build a Neural Network using FPGA. You have helped me getting started. Thanks a ton. More videos from you on CNN using FPGA would be absolutely fantastic

    • @sumitsingh0734
      @sumitsingh0734 2 ปีที่แล้ว

      Hey did u complete your project? I need some help.

  • @mmetzger79
    @mmetzger79 3 ปีที่แล้ว +6

    Absolutely fantastic video! FPGAs seem to have an extremely steep learning curve, so the syntax distance between true newby and somebody with a few weeks experience is vast! Just a few minutes learning your way around Vivado and basically learning definitions is a huge boost that your video did an exceptional job with. Thanks so much and looking forward to future videos. I know well done videos take a ridiculous amount of time to produce, and you look like a very busy guy...but here's hoping nonetheless!

    • @5uperM
      @5uperM 2 ปีที่แล้ว

      steep learning curve is an understatment

    • @fpgatherapy
      @fpgatherapy  2 ปีที่แล้ว +1

      ​@Joe Plocki I hope you were at least able to climb that initial barrier to entry and get on your way! I always found it frustrating that most online tutorials never bother to explain the fundamentals, hiding behind the excuse that "it's obvious". In my experience, it's often a lack of fundamental understanding.
      Good luck with your FPGA journey!

  • @PhuocNguyen-ji3uv
    @PhuocNguyen-ji3uv 2 ปีที่แล้ว +1

    Thanks for your work, sir

  • @timthompson468
    @timthompson468 11 หลายเดือนก่อน

    Excellent video. I just got a BASYS3 board and this is the clearest basic explanation of the Vivado software I’ve found I learned a lot that was missing from the other videos I watched. This could be considered a gold standard. I know it isn’t easy, but I wish you’d make more videos on more complex Vivado designs or even general digital design. Thanks.

  • @dubleblitz
    @dubleblitz 5 ปีที่แล้ว +15

    This is soooo good! You should keep making videos, you are very talented at explaining. Have a good day :)

    • @fpgatherapy
      @fpgatherapy  5 ปีที่แล้ว +5

      Thank you. I will try to prepare some more videos in the next couple of months. Please let me know if you'd like me to cover anything in particular.

    • @dr_j0nes
      @dr_j0nes 4 ปีที่แล้ว +1

      @@fpgatherapy using intern RAM oder static memory to store some random data. :)

    • @nomanmalik5215
      @nomanmalik5215 4 ปีที่แล้ว +1

      @@fpgatherapy please make videos on how to make Logic gates, servo control and other simple projects like PWM. Waiting for your next video on FPGA

    • @RSuarez66
      @RSuarez66 4 ปีที่แล้ว

      @@fpgatherapy When are you going to make more FPGA videos? We need you to make more, please. You"re one of a kind instructor! Kudos!

  • @matheusf.alpoin3489
    @matheusf.alpoin3489 3 ปีที่แล้ว +3

    This is a great tutorial, and one that is hard to find. I'm not sure why there are so few resources about FPGA programming on TH-cam, specially when it comes to Xilinx FPGAs, but this is the sort of educational video that should blow up. Thanks very much for this, just subscribed, hoping to find more content in the future!

    • @fpgatherapy
      @fpgatherapy  3 ปีที่แล้ว

      Thanks, Matheus. It requires quite a lot of effort to produce this kind of video. I appreciate your kind words!

  • @terrycarpenter4733
    @terrycarpenter4733 2 ปีที่แล้ว

    Thanks! This video is still helping people get up to speed on Vivado. Today is 8/14/2022, four years after this video was made. I'm using VHDL and USB104-A7 board and this video was still very helpful! Thanks again.

  • @whysguy3
    @whysguy3 4 ปีที่แล้ว +1

    Great expansion!! PLEASE keep the videos coming.

  • @zaidalsadane9638
    @zaidalsadane9638 4 ปีที่แล้ว +1

    You should keep making videos, you are very talented at explaining

  • @BenBilesBB-box
    @BenBilesBB-box 4 ปีที่แล้ว

    great ! my 1st FPGA verilog lesson building an over engineered light bulb was a huge success. thank you :)

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @mathurm100
    @mathurm100 5 ปีที่แล้ว +2

    best video i've seen on vivado so far. keep it up!

  • @engr.qaisarfarooq5336
    @engr.qaisarfarooq5336 4 ปีที่แล้ว +1

    Excellent work Sir. Lyle.!

  • @proceduralnodes7695
    @proceduralnodes7695 5 ปีที่แล้ว +3

    Thank you!! I followed your video and got the implementation to work on my arty S7! The explanation of constraints was especially helpful and pointed me to what information I needed in order to set them up. One point to those working on linux..... read the vivado release notes....the option to install the cable drivers has been removed from the install. The cable drivers have to be installed after you install vivado by running a script...otherwise vivado will not be able to see the board and you will not be able to program it.

    • @malayali_m
      @malayali_m 2 ปีที่แล้ว

      yes, I got stuck at this point for some time!

  • @Trevorm15
    @Trevorm15 4 ปีที่แล้ว +1

    Wow, great video man! You provided so much detail and I learned so much. Thank you!

  • @srdjanbabic8304
    @srdjanbabic8304 2 ปีที่แล้ว

    Overengineering simple things like this is THE ABSOULTE BEST way to teach someone something, and we owe you a lot for this tutorial! Thank you very much!

  • @nalithlakshan
    @nalithlakshan 3 ปีที่แล้ว +1

    Excellent video for beginners. Thanks a lot!

  • @espeach2153
    @espeach2153 6 ปีที่แล้ว +1

    Awesome! I was able to follow along and get it going with my ZC706. Thanks!

    • @fpgatherapy
      @fpgatherapy  6 ปีที่แล้ว

      That's a sweet development kit. I hope I can add some more material in the coming months that might help you get the most out of the Zynq series FPGAs. Thanks!

  • @galmagen7696
    @galmagen7696 4 ปีที่แล้ว

    you have very nice voice for this kind of tutorials, keep it up, very calm, very clear, very informative. i hope to see more videos from you.

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @markestes570
    @markestes570 5 ปีที่แล้ว +4

    Very clear and understandable. Thank you!!!

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @malayali_m
    @malayali_m 2 ปีที่แล้ว

    Awesome video. Thanks a lot!

  • @peteb2
    @peteb2 2 ปีที่แล้ว +1

    Oh dear here i am looking for Vivado FPGA info & your vid appears with a picture of Cathedral Cove on the Coromandel Penninsula, New Zealand... As a very young child in the 1960s my parents took my brother & myself there by boat (the only access then) for a summertime family picnic. The sea became very rough late afternoon & we all almost DROWNED attempting to row back out in the dingy through the surf to the boat.... a terrible childhood memory. I hope you video bodes well however...

    • @Pixillatedd
      @Pixillatedd 2 ปีที่แล้ว

      That's horrible! I'm glad everything worked out and you can now associate those memories with Xilinx development tools! :P
      Just kidding.

  • @jeromeang4295
    @jeromeang4295 4 ปีที่แล้ว +1

    You are an angel sent from above...

  • @mustafaglnr8780
    @mustafaglnr8780 5 ปีที่แล้ว +1

    really nice and cool tutorial not leave it out, go ahead to create your tutorial of FPGA for advanced level,
    because you have really nice instructive methods.

  • @amaryadav-mb5sx
    @amaryadav-mb5sx 2 ปีที่แล้ว +1

    Thanku you sir great job!!

  • @a.b9893
    @a.b9893 3 ปีที่แล้ว +1

    Amazing video!

  • @attila3028
    @attila3028 3 ปีที่แล้ว

    Wow good explanation

  • @mdrezaulkarim47
    @mdrezaulkarim47 2 ปีที่แล้ว

    Please make more..similar videos further.. I apreciate

  • @yanwenchen8636
    @yanwenchen8636 5 ปีที่แล้ว +1

    Very clear and helpful for rookies like me...

    • @fpgatherapy
      @fpgatherapy  5 ปีที่แล้ว

      Thank you. I appreciate it!

  • @chriskiwi9833
    @chriskiwi9833 4 ปีที่แล้ว +1

    I’m with everyone else. Thank you and please do more FPGA videos! :)

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @mengqili2565
    @mengqili2565 3 ปีที่แล้ว +1

    awesome my man. ur a fancy teacher

    • @fpgatherapy
      @fpgatherapy  3 ปีที่แล้ว

      Thanks! I am anything but fancy but I’ll take it! Haha

  • @himanshuwasan4502
    @himanshuwasan4502 6 ปีที่แล้ว +3

    Awesome thank you sir its very clear and interesting

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @petercheung63
    @petercheung63 5 ปีที่แล้ว +1

    super good tutorial, thanks

  • @st3ddyman
    @st3ddyman 5 ปีที่แล้ว +1

    Excellent clear video. Thank you so much for putting it together. You have a new sub :)

  • @gsilos
    @gsilos 3 ปีที่แล้ว +1

    I need a fpga therapist. Is someone here available? Why there is no more videos in this channel? the first one gives me the impression that this therapist is really good! :D

    • @fpgatherapy
      @fpgatherapy  3 ปีที่แล้ว

      Thanks! I do think we all need a little FPGA therapy every so often. They're just so time-consuming to produce!

  • @kimjisung852
    @kimjisung852 4 ปีที่แล้ว +1

    So good, thank you!

  • @EricSchreiber1
    @EricSchreiber1 5 ปีที่แล้ว

    Thank you very much! Excellent starter tutorial!

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @lm_123
    @lm_123 5 ปีที่แล้ว +1

    Brilliant! This is reallyyyyyyyyyyy helpful.

  • @SodaAnt7
    @SodaAnt7 4 ปีที่แล้ว

    Excellent video!
    Some suggestions:
    Rather than specify the exact FPGA model, package, etc., it’s easier to specify the Digilent board name, which will set the FPGA parameters automatically.
    Lastly, just a minor point-when calculating the current through the LED you forgot to account for the forward voltage drop of the LED, which for a green LED is ~2 volts. So the current is (3.3 - 2.0)/R.

    • @fpgatherapy
      @fpgatherapy  4 ปีที่แล้ว

      Hi Jerry, thanks for your thoughtful comments! I originally intended to do as you suggest, but decided it would be more valuable to walk the students through the process so they could understand what those options mean. Certainly, in later classes, I have students create projects for pre-defined boards (which is much simpler!)
      Also, I realised shortly after uploading the video that I didn't take into account the forward voltage drop across the LEDs. Oops!
      Have a wonderful weekend and thanks again.

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @silvercat4
    @silvercat4 4 ปีที่แล้ว +1

    Hey your name fpga therapy is great, I was a bit stressed with being stuck with my fpga and seeing this helped feel better. However I thought to finish projects you always need to combine them with Vitis (SDK before) and TeraTerm but now you just did it with only Vivado?!

    • @fpgatherapy
      @fpgatherapy  4 ปีที่แล้ว +1

      Hi Silvercat4, I'm glad you felt at ease after watching this video. FPGAs are beautiful, but they do take time to understand. After that, it's great!
      If you want to program a MicroBlaze core or the processing subsystem (PS / CPU) on a system-on-chip FPGA (e.g., a Zynq) then Vitis is useful. As for TeraTerm, I think any terminal/emulator will work.
      If all you want to do is configure the programmable logic (PL / FPGA) then you just need Vivado. There's a lot that can be learned before bringing MicroBlaze or a PS into the mix! :)

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @julians7785
    @julians7785 2 หลายเดือนก่อน

    I appreciate the articulate explanations and lack of heavy accent.

  • @robmartin7873
    @robmartin7873 5 ปีที่แล้ว +2

    Great work man! Please make some new videos if you can find the time!

    • @fpgatherapy
      @fpgatherapy  5 ปีที่แล้ว +1

      Thanks, Rob. I appreciate it! I plan to produce a few more videos using similar (entry-level) FPGA platforms. If there's a topic you'd like me to cover, please let me know.

    • @robmartin7873
      @robmartin7873 5 ปีที่แล้ว

      I have a vcu1525 that I am trying to figure out, this gave me some much needed direction. Especially your comparison of synthesis to compiling.

    • @robmartin7873
      @robmartin7873 5 ปีที่แล้ว

      @@fpgatherapy I am trying to port an application written in C++ to the VCU1525, maybe a tutorial on the workflow of converting a simple c++ program to a bitstream? Are you aware of any significant workflow differences that I should be aware of when working with the VCU?

    • @fpgatherapy
      @fpgatherapy  5 ปีที่แล้ว

      @@robmartin7873 That's a very powerful development kit. There are considerable workflow differences between conventional 'software' development (e.g., C, C++) and FPGA development. I find that the more experienced you are at software, the more difficult it is to build the intuition for how your code is translated into a functioning program (or bitstream) on the FPGA. It's important to understand how the synthesis and implementation tools translate your code into basic logic elements. I've also found that a lot of students take some time to get used to the fact that everything is happening all the time on the FPGA, and not necessarily in a defined sequence.
      Ultimately, translating a software algorithm onto an FPGA often depends on a) the nature of the algorithm (e.g., signal processing vs. pure logic vs. a procedural, etc.); b) your specific goal (performance, functionality, parallelization, determinism), and c) how patient you are.
      When did you begin with the ZCU?

    • @robmartin7873
      @robmartin7873 5 ปีที่แล้ว

      @@fpgatherapy We got our hands on the VCU back in the summer of 2018, we quickly discovered that we were in way over our heads, and hired an FPGA dev, he spent 3 months on the project and stated that he could not overcome some kind of library issue. So the project has been dormant for a few months, however it bothers me having such a powerful piece of equipment sitting idle. Do you offer consulting services by chance?

  • @imaginedemon_16
    @imaginedemon_16 4 ปีที่แล้ว +1

    Hi, thank you for uploading this nice explanatory tutorial. Can you make a video of a design using differential clock of virtex - 7 board in constraint file. I have trouble in generating differential clock of the board and connect it with the design. Thanks

    • @fpgatherapy
      @fpgatherapy  4 ปีที่แล้ว +2

      Hi Naveen. I had planned this out, actually, and have some code ready that may be of some help prior to me releasing a new video.
      Your FPGA will have positive and negative (differential) clock pins on it. In your constraints file, you need to specify the pin locations and IO standards according to your development board's datasheet. It might look something like this (for a ZCU104 Zynq UltraScale+ development board):
      set_property PACKAGE_PIN E23 [get_ports "CLK_125_N"]; # Bank 28 VCCO - VCC1V8 - IO_L13N_T2L_N1_GC_QBC_28
      set_property IOSTANDARD LVDS [get_ports "CLK_125_N"]; # Bank 28 VCCO - VCC1V8 - IO_L13N_T2L_N1_GC_QBC_28
      set_property PACKAGE_PIN F23 [get_ports "CLK_125_P"]; # Bank 28 VCCO - VCC1V8 - IO_L13P_T2L_N0_GC_QBC_28
      set_property IOSTANDARD LVDS [get_ports "CLK_125_P"]; # Bank 28 VCCO - VCC1V8 - IO_L13P_T2L_N0_GC_QBC_28
      You'll also want to specify that CLK_125_P is a clock (it will propagate this information for CLK_125_N). Do this with some code in the constraints file like this:
      create_clock -period 8.000 -name CLK_125_P [get_ports CLK_125_P]
      Note: '-period 8.000' specifies the clock's period in nanoseconds. The ZCU104's clocks have a frequency of 125 MHz, so the period is 8.000 ns.
      Place this create_clock command above the set_property commands in your constraints file.
      If you want to generate a single-ended clock based on your differential input clock, you should use an IBUFGDS (stands for input buffer, global differential signal) in your top-level VHDL or Verilog file.
      IBUFGDS #(
      .IOSTANDARD("LVDS") // Specify the input I/O standard
      ) IBUFGDS_inst (
      .O(clk), // Buffer output
      .I(CLK_125_P), // Diff_p buffer input (connect directly to top-level port)
      .IB(CLK_125_N) // Diff_n buffer input (connect directly to top-level port)
      );
      You could test this out in Verilog with the following code (in addition to the constraints file you'll need to create):
      module clockCreation_TOP(
      input CLK_125_N,
      input CLK_125_P
      );
      wire clk;

      IBUFGDS #(
      .IOSTANDARD("LVDS") // Specify the input I/O standard
      ) IBUFGDS_inst (
      .O(clk), // Buffer output
      .I(CLK_125_P), // Diff_p buffer input (connect directly to top-level port)
      .IB(CLK_125_N) // Diff_n buffer input (connect directly to top-level port)
      );

      // Example clocked process using the newly generated clock
      reg [31:0] counter;

      always @(posedge clk) begin
      counter

  • @RSuarez66
    @RSuarez66 4 ปีที่แล้ว +1

    Time 18:09: You said there are "anode connected resistors". Actually, they are cathode connected.
    Great tutorial. How can I activate all the leds on one of the 7-segments led display?
    Thanks a lot!

  • @derekcarson5550
    @derekcarson5550 3 ปีที่แล้ว +1

    YOU DA MAN

  • @ayushvatsal
    @ayushvatsal 2 ปีที่แล้ว

    Hey Brother, firstly great Video. Why have the videos stopped? I have a ZC706, needed help with storing my verilog code in memory so when the power goes off it doesn't forget everything. Couldn't find any more of your videos.

  • @whysguy3
    @whysguy3 4 ปีที่แล้ว

    @FPGA Therapy I am running a Zynq on the Zybo dev board. I would absolutely love to see you do a video fixing errors. I am currently having trouble fixing a "no such directory"/"could not read file error , yet the directory and file do you exist. This was while using Vivado IDE just before generating a bitstream. I think it has something to do with generating the design constraints and creating IOSTANDARD constraint ".../axis gpo 0/gpo io o[0]" not directly connected to top level port. Nevertheless a tutorial on the TLC console and output messages and solving errors we would be most appreciated. You do an excellent job explaining.

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

    • @lindsayruddock9141
      @lindsayruddock9141 ปีที่แล้ว

      I had this problem come up once ‘could not read file’, it seemed to be to do with Windows file path length - I was using Microsoft Onedrive at the time. The problem went away after I transferring the project directory to the local hard drive.

  • @aarifboy
    @aarifboy 2 ปีที่แล้ว

    Which one is cheapest FPGA for students that can be programmed through a USB from PC?

  • @bhanuprakashreddy
    @bhanuprakashreddy 3 ปีที่แล้ว

    how to write the xdc file if the input range in the form of [63:0]A like this, can you please tell me sir,
    Thanks in advance.

  • @raindog2262
    @raindog2262 3 ปีที่แล้ว

    How did you actually kick off the synthesis? That step is not visible. How did you get to the "launch Runs" screen?

    • @fpgatherapy
      @fpgatherapy  3 ปีที่แล้ว

      Great question! On the left hand side of the Vivado window (in the Flow Navigator panel) there is 'Run Synthesis' button.

  • @xrayonthemove
    @xrayonthemove 4 ปีที่แล้ว

    Please make more videos!

  • @guruprasath9414
    @guruprasath9414 3 ปีที่แล้ว

    Hai , I have a problem in synthesis the program .It kind of license not founded for fueatre synthesis
    But I properly put license
    What can I do

    • @fpgatherapy
      @fpgatherapy  2 ปีที่แล้ว +1

      Unfortunately there are many reasons this could happen. Are you running Vivado on Linux or Windows? I'm sure by now you've solved this problem. Good luck in the future.

  • @user-ww2lc1yo9c
    @user-ww2lc1yo9c 2 ปีที่แล้ว

    Can't we use GUI to assign the top level port to IO pins with specific voltage standards? What about timing contstraints, where is that done?

    • @fpgatherapy
      @fpgatherapy  2 ปีที่แล้ว

      You can, but it's good to define the IO constraints manually in tcl. It also allowed me to demonstrate how to use the data sheet to answer the unknown questions about IO voltage standards etc.

    • @user-ww2lc1yo9c
      @user-ww2lc1yo9c 2 ปีที่แล้ว

      @@fpgatherapy everything goes into the XDC file?

  • @asadurrehman757
    @asadurrehman757 2 ปีที่แล้ว

    Why you have not uploaded more tutorials on channel?

  • @curtisnotestine3134
    @curtisnotestine3134 4 ปีที่แล้ว

    Great video! Questions: Why didn't the output port appear in the verilog file? Also, I always end each constraint with a semi-colon, is this no longer required?
    Thanks!

    • @fpgatherapy
      @fpgatherapy  4 ปีที่แล้ว

      Hi Curtis, I discovered soon after putting this video together that I failed to press 'enter' after typing in the name for the output port.
      As for terminating constraints / lines in Tcl, you can use a semicolon if that's your style, but it's not strictly necessary because a new line has the same effect. I have not tried multiple constraints on a single line separated by semicolons, but I imagine this would be valid as well.
      Thanks for your support and encouragement.
      Lyle

  • @anmomu92
    @anmomu92 3 ปีที่แล้ว

    How do you get the speed grade when selecting the part? I've been looking through the box of the board, but I haven't found anything regarding the speed.

    • @fpgatherapy
      @fpgatherapy  3 ปีที่แล้ว +1

      Hi AnMoMu,
      If you go to 2:54 on the video you'll see a close up of the FPGA chip. This close up picture shows the device family Artix-7, part number (XC7A100T) and package identifier (CSG324). The line below the package identifier is the 'Lot Code' (useful for traceability and verification of the part). Then, immediately below the Lot Code is a number (1) and letter (C). The number '1' indicates the device speed grade (in this case, the higher the number, the faster the FPGA's minimum performance in terms of clock speed... i.e., higher speed grade -> faster designs). The letter indicates the device's temperature grade (C = Commercial, I = Industrial, and E = Extended).
      The Nexys-4 DDR's Artix-7 has a speed grade 1 and temperature grade C.
      Note: it's unlikely you'll find a development board with a temperature grade other than C, but perhaps some boutique reference boards do.
      I hope this is helpful.

    • @anmomu92
      @anmomu92 3 ปีที่แล้ว

      @@fpgatherapy It's been really helpful. Thank you very much.

  • @saanvisharma2081
    @saanvisharma2081 5 ปีที่แล้ว +7

    I've gone through your channel......it's quite disappointing to see a single video. Please do other video's on FPGA

    • @fpgatherapy
      @fpgatherapy  5 ปีที่แล้ว +1

      @Jitesh Prasad What do you need help with?

    • @jamesjameson4775
      @jamesjameson4775 3 ปีที่แล้ว

      Want to learn how to develop an fpga?
      Udemy coupon on the link below, Join today to Learn: www.udemy.com/course/vivado-learn-from-the-beginning-and-with-pcie-full-project/?couponCode=A0C0A4145EDBDC23C7A6

  • @arnold5328
    @arnold5328 4 ปีที่แล้ว +1

    Can you also make a Video about building a reusable space rocket with FPGA? pls need help ASAP

    • @fpgatherapy
      @fpgatherapy  4 ปีที่แล้ว

      At my current video production rate, you'll probably get it in about 1000 years or so! =)

  • @soldierdeepika
    @soldierdeepika 4 ปีที่แล้ว

    Can I get a video of converting c or c++ code into vhdl code in vivado

  • @yiyang9997
    @yiyang9997 5 ปีที่แล้ว

    nice!!!!!!!!!!!

  • @princesaini1452
    @princesaini1452 ปีที่แล้ว

    help with more verilog coding sir

  • @thomasparker4109
    @thomasparker4109 2 ปีที่แล้ว +1

    thx , where are u ?)

    • @fpgatherapy
      @fpgatherapy  2 ปีที่แล้ว +1

      Thanks, I'm in Australia.

  • @theREALmushroomMusic
    @theREALmushroomMusic ปีที่แล้ว

    haha, the last sentence

  • @chufanchen6073
    @chufanchen6073 4 ปีที่แล้ว +1

    Brilliant! Save me from digital lab!!!

  • @minRef
    @minRef 4 ปีที่แล้ว

    The downvotes are all designers of unnecessary GUI feature designers in Vivado