Essential Steps to Simplify VHDL Testbenches Using OSVVM

แชร์
ฝัง
  • เผยแพร่เมื่อ 25 มิ.ย. 2024
  • Essential Steps to Simplify VHDL Testbenches Using OSVVM
    This “Getting Started” webinar focuses on the first, essential steps you need to make when looking to improve your VHDL testbench approach. We examine transaction-based testing, self-checking tests, messaging, reports, and OSVVM helper utilities. Open Source VHDL Verification Methodology (OSVVM) will be used as part of the examples.
    Jim Lewis is an innovator and leader in the VHDL community. He has 30 plus years of design and teaching experience. He is the Chair of the IEEE 1076 VHDL Standards Working Group. He is a co-founder of the Open Source VHDL Verification Methodology (OSVVM) and the chief architect of the packages and methodology. He is an expert VHDL trainer for SynthWorks Design Inc. In his design practice, he has created designs for print servers, IMA E1/T1 networking, fighter jets, video phones, and space craft.

ความคิดเห็น •