tscevers
tscevers
  • 17
  • 20 247
Introduction to SignalTap in Quartus
An introduction to the SignalTap Logic Analyzer in IntelFPGA Quartus. A quick little demo on how to do some basics.
มุมมอง: 346

วีดีโอ

Hello World - Nios V
มุมมอง 2.2Kปีที่แล้ว
This is a introduction to the new Nios V softcore processor from IntelFPGA. The Nios V is a Risc-V based system that can be implmented in an FPGA. This demo includes creating a basic processor and running "Hello World" on it.
Using Testbenches in Quartus with Questa Intel FPGA edition
มุมมอง 3.2Kปีที่แล้ว
How to use a testbench to verify your design in Quartus Prime using the Intel FPGA edition software.
SAM4E Xplained Pro - Hello World with FreeRTOS
มุมมอง 523ปีที่แล้ว
How to setup FreeRTOS to run on a SAM4E Xplained Pro developement board and write a basic Hello World Task. This task will poll a button and light an LED if it is pressed.
Introduction to Arduino - Part 3 using Rust
มุมมอง 61ปีที่แล้ว
This is the last video in the series to introduce the Arduino microcontroler. In the series we will look at the arduino and program it in three different languages: Sketch, C, and Rust.
Introduction to Arduino - Part 2 using C
มุมมอง 53ปีที่แล้ว
This is the second in a series of videos to introduce the Arduino microcontroler. In the series we will look at the arduino and program it in three different languages: Sketch, C, and Rust.
Introduction to Arduino - Part 1 using Sketch
มุมมอง 38ปีที่แล้ว
This is the first in a series of videos to introduce the Arduino microcontroler. In the series we will look at the arduino and program it in three different languages: Sketch, C, and Rust.
HPS - Hello PIO core
มุมมอง 740ปีที่แล้ว
In this video we will expand the use of the HPS system on the DE10-Standard board by making our own custom system with a PIO core attached. Then walk through the steps necessary to write a program to control the LEDs. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
DE10-Standard - HPS Linux "Hello World"
มุมมอง 1.4Kปีที่แล้ว
How to setup the tools and write your first simple program using the HPS cores inside the Cyclone V FPGA on the DE10-Standard development board. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
Hello Nios using FreeRTOS
มุมมอง 1.7Kปีที่แล้ว
Another Nios II tutorial for the DE10-Standard board. This one is running FreeRTOS instead of baremetal. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
Nios Custom Instruction
มุมมอง 573ปีที่แล้ว
Implementing a simple combinational custom instruction for the Nios II processor. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
Nios Custom Peripheral 2
มุมมอง 591ปีที่แล้ว
Custom IP Peripheral for Nios II processor that utilizes multiple registers. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
Nios Custom Peripheral 1 - Part 2
มุมมอง 882ปีที่แล้ว
In part 2 we take the custom peripheral created in part 1 and incorporate it into a Nios II processor. We then write the code to use it and control the LEDs on the DE10-standard. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
Nios Custom Peripheral 1 - Part 1
มุมมอง 889ปีที่แล้ว
In part 1 of this tutorial we will create a peice of custom IP using verilog. This IP will be targeted at the Avalon Bus of the Nios II softcore processor. In part 2 we will use this custom IP in a Nios II processor system. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
Hello Nios Interrupts
มุมมอง 3Kปีที่แล้ว
Tutorial/Demonstration of using interrupts in a Nios II softcore processor. This demo uses an interval timer set at 500 ms to show how interrupts work in the Nios II. gitlab.com/sceverst/tscevers-youtube-channel/-/tree/main
Hello Nios PIO
มุมมอง 1Kปีที่แล้ว
Hello Nios PIO
Hello Nios II
มุมมอง 3Kปีที่แล้ว
Hello Nios II

ความคิดเห็น

  • @monk6848
    @monk6848 6 วันที่ผ่านมา

    Damn, your keyboard must HATE you....

  • @amanyarafa
    @amanyarafa 20 วันที่ผ่านมา

    thank you for very informative material could you please explain how to extend this to multiple nios processor (multiprocessor)

  • @RobertCallahan-m9e
    @RobertCallahan-m9e 24 วันที่ผ่านมา

    Anderson Kimberly Robinson Patricia Martinez Thomas

  • @rajarshimukherjee4844
    @rajarshimukherjee4844 หลายเดือนก่อน

    Hey! I have a cyclone v soc development kit by altera, so is this version of linux compatible with my board too? Note that my board has the same fpga and arm as this one

  • @mateolassorios5514
    @mateolassorios5514 หลายเดือนก่อน

    Gracias.

  • @guaxem
    @guaxem หลายเดือนก่อน

    THANK YOU BRO, YOU HELPED ME A LOOOOOOOOOOOT. I CANT EXPRESS HOW HAPPY I AM THAT THIS THING WORKED

  • @danielorivaldodasilva6370
    @danielorivaldodasilva6370 หลายเดือนก่อน

    You're the best :)

    • @guaxem
      @guaxem หลายเดือนก่อน

      Yes he is!!!

  • @jamesross3939
    @jamesross3939 2 หลายเดือนก่อน

    I followed along using a Terasic DE1 / Cyclone II and Quartus II 13.0sp1 ... and I couldn't believe that it worked the first time. (even though there a minor differences). Now how to program the LED's, 7-segment digits, and read switches. ... then how to pass data back and forth. AND then find a tutorial that shows how to do this same kind of thing using a hard-processor, like a DE0-Nano-Soc, DE1-SoC, and/or DE10-Nano (as I have all three of those!)

  • @Murmurrumm
    @Murmurrumm 2 หลายเดือนก่อน

    Hello, your videos have been beneficial. My classmate and I are struggling to write an interrupt using JTAG UART. Would you happen to have any pointers/guides for us? Thank you kindly!

    • @Murmurrumm
      @Murmurrumm 2 หลายเดือนก่อน

      Actually, we just figured it out! Thank you :)

  • @Murmurrumm
    @Murmurrumm 2 หลายเดือนก่อน

    Does this work for de2-115 board as well?

    • @tscevers
      @tscevers 2 หลายเดือนก่อน

      It should, however the IP for the onboard ram is different if I remember correctly.

    • @Murmurrumm
      @Murmurrumm 2 หลายเดือนก่อน

      @@tscevers Thank you kindly! I have already made these connections, in the system for the ram :)

  • @nguyenhuyhoang2693
    @nguyenhuyhoang2693 3 หลายเดือนก่อน

    Thank Sir! The lecture is very easy to understand.

  • @Aligreta
    @Aligreta 3 หลายเดือนก่อน

    Hi! In my case, i see no change on reg variables... All remains x

  • @JavadTaghia
    @JavadTaghia 3 หลายเดือนก่อน

    oh man it was very helpful, I could not figure out with the official helps from Intel. They just need to support your channel and make them educational videos.

  • @IgorChudakov
    @IgorChudakov 4 หลายเดือนก่อน

    I hought Questa is a new kind of simulation 🙂 Kind of native like Vivado has. Looks like it is the old modelsim with some easy way to control the simulation instead of using TCL. Not exactly what I expected, but this is ok. Thanks for the video

  • @holandsoest
    @holandsoest 5 หลายเดือนก่อน

    This stuff is amazing, very clear. Many thanks.

  • @alsn0
    @alsn0 5 หลายเดือนก่อน

    Amazing channel

  • @Nopp3
    @Nopp3 5 หลายเดือนก่อน

    Thank you. Helped get me going on a school project.

  • @waiyung8067
    @waiyung8067 5 หลายเดือนก่อน

    Was your Quartus Prime STANDARD edition or PRO edition? I think NIOS V is not supported in LITE edition, is this true?

    • @JavadTaghia
      @JavadTaghia 3 หลายเดือนก่อน

      it is supported you need a correct license use the m version.

  • @martinnjoroge6006
    @martinnjoroge6006 6 หลายเดือนก่อน

    I am having trouble with the NIOS V licensing. I am using Quartus Standard 90-day evaluation. What could be a solution to it?

    • @JavadTaghia
      @JavadTaghia 3 หลายเดือนก่อน

      you need to go to self licensing and generate one put it in your environment variable. Restart. It needs a special license.

  • @praveen5888
    @praveen5888 7 หลายเดือนก่อน

    respected sir what you are saying everything iam doing but in output file folder .sof file is not generated please help me why the .sof file is not generated please give me suggestion for that

  • @claudiapatriciarenteriamej4580
    @claudiapatriciarenteriamej4580 8 หลายเดือนก่อน

    This video is very useful to start.

  • @nigelberrie428
    @nigelberrie428 9 หลายเดือนก่อน

    Again an excellent, practical run-through, again very useful. Thank you for your excellent videos.

  • @nigelberrie428
    @nigelberrie428 9 หลายเดือนก่อน

    Very useful, practical run through. Thank you! I am going to subscribe and take a look at your other videos...

  • @dwudcuqoyl1465
    @dwudcuqoyl1465 9 หลายเดือนก่อน

    never seen Quartus without warnings 😂

  • @benjaminharkinezhad5791
    @benjaminharkinezhad5791 11 หลายเดือนก่อน

    Is there a guide or manual for the commands you used in the TCL and wave.do files?

  • @kohnai
    @kohnai 11 หลายเดือนก่อน

    Using Quartus Prime Lite 18.1 and FreeRTOSv202212.01 - Compile error: "FreeRTOS/include/FreeRTOS.h:59:28: fatal error: FreeRTOSConfig.h: No such file or directory" The problem is that FreeRTOS.h cannot see FreeRTOSConfig.h The solution is to either move FreeRTOSConfig.h into the FreeRTOS/include folder or change the include statement in FreeRTOS.h to "../../FreeRTOSConfig.h"

  • @ninjaiceflame
    @ninjaiceflame ปีที่แล้ว

    Epic

  • @GordWait
    @GordWait ปีที่แล้ว

    Hi, thank you for the video, very informative! I'm on an older copy of quartus (14.1, licensed with a bunch of stuff) and I am trying to get FreeRTOS running on my Nios setup. I seem to be having a fight with the system timer. In my case, it seems that the altera init code sets up and launches the timer before calling main(), and I think this is causing FreeRTOS to fail to configure the timer. In your case, you didn't seem to have to stop the altera code, your task timer "just worked".. Any ideas? In my case with FreeRTOS, the timer sets the IRQ high and never clears it again. I'm digging in to see if the FreeRTOS timer setup code is failing..

    • @GordWait
      @GordWait ปีที่แล้ว

      I have it working now. Aside from a few minor "finger trouble" mistakes, I had put my JTAG UART on the highest priority interrupt (IRQ0), which was a bad idea. The Jtag UART was hogging the cpu so that the Timer IRQ never had a chance. All better now..

  • @jobaek
    @jobaek ปีที่แล้ว

    Is it possible to run without external memory(sdram)? I want to running with only on-chip memory include Nios II. And I want to use the FreeRTOS.(latest version)

    • @deltazulupapa
      @deltazulupapa หลายเดือนก่อน

      Could you do it, pal?

  • @jobaek
    @jobaek ปีที่แล้ว

    Is it possible to run without external memory(sdram)? I want to running with only on-chip memory include Nios II or Nios V.

  • @muhammaddelen7769
    @muhammaddelen7769 ปีที่แล้ว

    Is it possible to run a project through Nios V Command shell only? I made the hello world app with the command you showed at 14:00 : "niosv-app ..." and want to complete the tutorial without using Ashling

  • @sergbo3114
    @sergbo3114 ปีที่แล้ว

    Вот чем занимается Санта Клаус летом)

  • @Breno9629
    @Breno9629 ปีที่แล้ว

    hey tscevers, first of all, thank you for your video. I would like to ask you a question. How can I check the signal in specific parts? For example, I would like to check it in sample 16, sample 32 and sample 108 for example at the same time. Depending on the value of it, I would make an action. Just to explain the context: I'm trying to create a decoder for a IR remote control using the FPGA. When I click I receive a signal like this one on your video, but I would like to capture the value in some parts, like sample[16], sample[32], sample[108], is it possible? Or there is a easier way to get these specific values? Thank you in advance!

  • @user-tt8oh2ob1q
    @user-tt8oh2ob1q ปีที่แล้ว

    Thanks for the great channel. Highly appreciated. Would you please do a video about how to use an external memory for the Nios processor program memory.

  • @_Tobias_267
    @_Tobias_267 ปีที่แล้ว

    Hello sir, many thanks for your intuitive video, could you please also provide a tutorial about how to use 'write master' block in Nios II so that the external FPGA module can write data into it, and Nios II Core can read it? Thank you again!

  • @embeddedsemrade
    @embeddedsemrade ปีที่แล้ว

    I am using a Chinois board, I v seen you use the System and SDRAM Clocks for DE-series boards for the clocks, could I use the same thing even if my board is not DE10?

    • @tscevers
      @tscevers ปีที่แล้ว

      I am not familiar with that board, but I would think it would be possible.

    • @embeddedsemrade
      @embeddedsemrade ปีที่แล้ว

      @@tscevers I used the PLL from the altera library and it works good for now, I have an issue with the timing parameter in the SDRAM controller, with my memory I couldn't find all parameters and I don't have any idea how to calculate them. I am using the H57V2562GTR-75C running at 133MHz.

    • @tscevers
      @tscevers ปีที่แล้ว

      @@embeddedsemrade most of those parameters should come from the datasheet for the memory chip you are using...

    • @embeddedsemrade
      @embeddedsemrade ปีที่แล้ว

      @@tscevers Ok, if I want to add some leds and keys do I have to connect them to the SDRAM Or to the SRAM, please?

  • @stephwu8164
    @stephwu8164 ปีที่แล้ว

    For DE1-SoC users, please move all the .h files into the BSP folder. Otherwise, the .h files will not be included in the project

  • @hrnbrain
    @hrnbrain ปีที่แล้ว

    Thanks for the great tutorial. It's very detail and easy to understand. I have been looking for tutorials like this for a long time. I am truly grateful for the efforts you made to make this video. Please keep making videos like this especially for FPGAs and Nios II. By the way, where did you learn how to do all these?

  • @hrnbrain
    @hrnbrain ปีที่แล้ว

    Hi there. Thanks for a very detailed and easy to understand video tutorial. I used to be a Arduino user and recently just got very interested in FPGAs. I bought a Terasic DE10-Lite and started configuring the FPGA. Anyway, I have a question. I am a newbie so my question might sound very dumb. When you programmed the HPS, are you programming the ARM processor on the board, or the FPGA?

  • @mariusscheepers9003
    @mariusscheepers9003 ปีที่แล้ว

    Hi sir. I hope we can go deep into the rust part.

  • @ArturKlauser
    @ArturKlauser ปีที่แล้ว

    For anyone using FreeRTOSv202210.01-LTS (or presumably newer versions), leave the ALT_ENHANCED_INTERRUPT_API_PRESENT definition in system.h as it is, i.e. don't change it to ALT_LEGACY_INTERRUPT_API_PRESENT. The default configuration works just fine now, whereas the legacy configuration gives you a compile error.

  • @ArturKlauser
    @ArturKlauser ปีที่แล้ว

    I'm curious that you're able to download the software to the Nios2 system without having included a System-ID peripheral and/or having checked the "Ignore mismatched system-ID / ignore mismatched timestamp" check boxes on the "Run Configuration -> Target Connection" screen. If I don't do those things, download never works for me (Quartus Lite 21.1.1 running on Ubuntu 20.04, programming a DE2-115 Cyclone IV board).

    • @tscevers
      @tscevers ปีที่แล้ว

      I have never used the peripheral and never check the box to ignore the system-id... I have found it an unessary step for me personally. I have used Quartus from versions 16 on up and never had a problem in either windows or linux environments....

  • @xxxxRADCAZxxxx
    @xxxxRADCAZxxxx ปีที่แล้ว

    Thank you! this is very helpful.

  • @gerardjacobs8900
    @gerardjacobs8900 ปีที่แล้ว

    ρгό𝔪σŞm

  • @thiagodalmora5110
    @thiagodalmora5110 ปีที่แล้ว

    Thanks 😁

    • @tscevers
      @tscevers ปีที่แล้ว

      No Problem! I hope it helped...

  • @andrewsnowden8937
    @andrewsnowden8937 ปีที่แล้ว

    For anyone who experiences the "undefined reference to 'restore_sp_from_pxCurrentTCB' in port.c" error, the solution is to open the Makefile for the project, find the line that says "ASM_SRCS := " and add FreeRTOS/portable/GCC/NiosII/port_asm.S to the line. For some reason its not already there. This should result in a successful build after following along here