Yash Jain
Yash Jain
  • 7
  • 339 513
METASTABILITY | RESOLUTION TIME | Static Timing Analysis | The Rising Edge
Hello,
Welcome to The Rising Edge!
I am Yash and this video is about Metastability.
In this video, you'll learn what happens when the timing constraints are not met, what is Aperture zone and what is Resolution time.
Links to previous parts:
Part 1 (Introduction to Setup/Hold): th-cam.com/video/xCA54Qu4WtQ/w-d-xo.html
Part 2 (Reason for Setup/Hold): th-cam.com/video/Km-uej4xrR4/w-d-xo.html
Part 3 (Negative Hold Time): th-cam.com/video/a83hPQSYDfo/w-d-xo.html
Part 4 (Setup Analysis max Clock Frequency): th-cam.com/video/db8AzrX0GrA/w-d-xo.html
Part 5 (Hod Analysis): th-cam.com/video/9O1jwOviCP4/w-d-xo.html
Part 6 (STA Interview Problem): th-cam.com/video/AJNKFXYVZlE/w-d-xo.html
Complete STA Playlist: th-cam.com/play/PLpCkjM331Aa8JNoZ1s1o1txve2wlf9pCP.html
Stay tuned for the complete series, keep learning, and All the Best for your placement preparation.
#STA #VLSI #Interview #placement #Clock #Timing #Metastable #Metastability #StaticTimingAnalysis #Setup #SetupViolation #Hold #HoldViolation #SetupAndHoldTimes #FlipFlop #DigitalElectronics #PlacementPreparation #Synchronizer #cdc #clockdomaincrossing #mtbf
Song: Ikson - Spring (Vlog No Copyright Music)
Music promoted by Vlog No Copyright Music.
Video Link: th-cam.com/video/xSZU2XMUAYY/w-d-xo.html
มุมมอง: 18 381

วีดีโอ

STA INTERVIEW QUESTION | STA - 6 | Static Timing Analysis | The Rising Edge
มุมมอง 30K3 ปีที่แล้ว
Hello, Welcome to The Rising Edge! I am Yash and this is the sixth part of Static Timing Analysis. In this video, you'll see a commonly asked interview problem on STA and learn how to approach it in a very easy manner. Links to previous parts: Part 1 (Introduction to Setup/Hold): th-cam.com/video/xCA54Qu4WtQ/w-d-xo.html Part 2 (Reason for Setup/Hold): th-cam.com/video/Km-uej4xrR4/w-d-xo.html Pa...
HOLD ANALYSIS | STA - 5 | Static Timing Analysis | The Rising Edge
มุมมอง 34K3 ปีที่แล้ว
Hello, Welcome to The Rising Edge! I am Yash and this is the fifth part of Static Timing Analysis. In this video, you'll learn how to do the HOLD ANALYSIS in a very simplified and easy-to-understand manner. Links to previous parts: Part 1 (Introduction to Setup/Hold): th-cam.com/video/xCA54Qu4WtQ/w-d-xo.html Part 2 (Reason for Setup/Hold): th-cam.com/video/Km-uej4xrR4/w-d-xo.html Part 3 (Negati...
SETUP ANALYSIS | MAXIMUM CLOCK FREQUENCY | STA - 4 | Static Timing Analysis
มุมมอง 43K4 ปีที่แล้ว
Hello, Welcome to The Rising Edge! I am Yash and this is the fourth part of Static Timing Analysis. In this video, you'll learn how to do the SETUP ANALYSIS and how to calculate the MAXIMUM CLOCK FREQUENCY in a sequential design. Links to previous parts: Part 1 (Introduction to Setup/Hold): th-cam.com/video/xCA54Qu4WtQ/w-d-xo.html Part 2 (Reason for Setup/Hold): th-cam.com/video/Km-uej4xrR4/w-d...
HOLD TIME CAN BE NEGATIVE!!! | STA-3 | Static Timing Analysis
มุมมอง 41K4 ปีที่แล้ว
Hello, Welcome to The Rising Edge! I am Yash and this is the third part of Static Timing Analysis. In this video, you'll get the answer to a very popular question asked by interviewers, which is about the Negative Hold Time. Part 1 (Introduction to Setup/Hold): th-cam.com/video/xCA54Qu4WtQ/w-d-xo.html Part 2 (Reason for Setup/Hold): th-cam.com/video/Km-uej4xrR4/w-d-xo.html Part 4 (Setup Analysi...
WHY SETUP AND HOLD TIMES EXIST? | STA-2 | Static Timing Analysis
มุมมอง 64K4 ปีที่แล้ว
Hello, Welcome to The Rising Edge! I am Yash and this is the second part of Static Timing Analysis. In this video, you will learn about the reason for the existence of Setup and Hold Times by understanding the internal structures and working of the D-latch and Flip-Flop with a brief introduction to the transmission gate. Part 1(Introduction to Setup and Hold Times): th-cam.com/video/xCA54Qu4WtQ...
INTRODUCTION TO SETUP AND HOLD TIMES | STA-1 | Static Timing Analysis
มุมมอง 110K4 ปีที่แล้ว
Hello Everyone I am Yash Jain and this is the first video on my channel. In this video, you will study the very basic concept of Static Timing Analysis starting with "The Introduction to SETUP and HOLD times" with respect to a flip flop and also to a system. It will be a complete lecture series on all the advanced topics of DIGITAL ELECTRONICS that are most important for placements and internsh...