MEXTech
MEXTech
  • 44
  • 377 011
Automatic Density Based Traffic Light simulation| Arduino #shorts | By MEXTech
Welcome to #MEXTech youtube channel..
Please like and subscribe to our channel for more updates
related to microcontrollers!!!!
======= thanks for visiting to #MEXTech ========
Website - www.mextechs.co.in
======================================================
Follow us on
======================================================
Facebook - MEXTech-185240245460085/?ref=bookmarks
Twitter - MEXTech0000
Google+ - plus.google.com/u/0/100358097359317456390
======================================================
if you have any question, Please comment below. Thank you.....
มุมมอง: 518

วีดีโอ

Shift register Based 7 Segment Display control using 8051MCU | 3 wire 7Seg control tuts| By MEXTech
มุมมอง 2.9K2 ปีที่แล้ว
Welcome to #MEXTech youtube channel.. Download link code circuit files mega.nz/file/6fwUVL4I#8wuCjpbXuu75ybbHjupvdDnYSLeowoPwa6RZDI5ayC0 or drive.google.com/file/d/1FprDkZOljcLnaLQf4qsV2K9LltsIFJCN/view?usp=sharing Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - faceboo...
Arduino with shift register #short |multiplexing 8 digit 7seg with arduino| By MEXTech
มุมมอง 2323 ปีที่แล้ว
#short #short_video_youtube Welcome to #MEXTech youtube channel... Please like and subscribe to our channel for more updates related to microcontrollers!!!! Download code and circuit mega.nz/file/KH5iTbZQ#VCsNuSEZBx2rxrTBp6dgyPX5U_T_EwYK3dpPsmPLdvk thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - MEXTech-185240245460085/?ref=bookmarks Twitter - t...
making custom case or box for PCB circuit and 3d printing| Solidworks 2018 3D print| By MEXTech
มุมมอง 9643 ปีที่แล้ว
Welcome to #MEXTech youtube channel.. in this video i'm going to design a box or case for my pcb circuit to give it better look and then will print using 3D printer and for the designing here i'm using Solidworks 2018 and for proteus for pcb design so watch till end, thanks...!! Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEX...
Basic concepts of electronics #Seminconductor #Part 3 | By MEXTech(Nameshwari Verma)
มุมมอง 1703 ปีที่แล้ว
Welcome to #MEXTech youtube channel.. Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - MEXTech-185240245460085/?ref=bookmarks Twitter - MEXTech0000 Google - plus.google.com/u/0/100358097359317456390 if you have any question, Please comment below....
HOW TO USE an OSCILLOSCOPE (DSO) #Hindi ||By Mextech
มุมมอง 7953 ปีที่แล้ว
What is an Oscilloscope in Hindi | Oscilloscope Tutorial Hindi | How to use an Oscilloscope(DSO) in a easy way | How to use a Digital Storage Oscilloscope DSO | CRO vs DSO Welcome to #MEXTech youtube channel.. Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - facebook.com...
Basic concept of electronics | #Semiconductor Part 2 |By MEXTech (NAMESHWARI VERMA)
มุมมอง 2593 ปีที่แล้ว
stay tuned for the next part. Make sure that you subscribed our channel , like and share with frnds .. comment your suggestions and doubt Thanks Guyz!!!!!! you are super awesome # what is semiconductor # introduction to semiconductor #what is PN diode #what is Rectifiers and filters # ionic bond # what is Ic Technology Welcome to #MEXTech youtube channel.. Please like and subscribe to our chann...
Basic concept of Electronics |#Semicondutors Part 1 | By MEXTech (NAMESHWARI VERMA )
มุมมอง 5113 ปีที่แล้ว
Hello guyz here the video tutorial on basic electronics which includes Basic electronics concepts of engineering , polytechnic and various competitive exam . This video covers *Basic concepts of semiconductor *PN junction diode *Rectifiers and Filters *Mosfet *IC technology stay tuned for the next part. Make sure that you subscribed our channel , like and share with frnds .. comment your sugges...
solidworks walk through video interior design of lab MAKER SPACE, ATL, FABLAB | By MEXTech(HUMENDRA)
มุมมอง 1303 ปีที่แล้ว
Welcome to #MEXTech youtube channel.. Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - MEXTech-185240245460085/?ref=bookmarks Twitter - MEXTech0000 Google - plus.google.com/u/0/100358097359317456390 if you have any question, Please comment below....
HOW TO MAKE NUT AND BOLT IN FUSION 360 TUTORIAL FOR BEGINNER'S | By MEXTech(HUMENDRA KUMAR SAHU)#ATL
มุมมอง 12K3 ปีที่แล้ว
Welcome to #MEXTech youtube channel.. Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - MEXTech-185240245460085/?ref=bookmarks Twitter - MEXTech0000 Google - plus.google.com/u/0/100358097359317456390 if you have any question, Please comment below....
AUTOMATIC HAND SANITIZER bottle design using SOLIDWORKS| By MEXTech
มุมมอง 3983 ปีที่แล้ว
#ATL #automatic hand sanitizer #AIM #ATL projects #solidworks Welcome to #MEXTech youtube channel.. Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - MEXTech-185240245460085/?ref=bookmarks Twitter - MEXTech0000 Google - plus.google.com/u/0/1003580...
BIRTHDAY SONG USING ARDUINO| By MEXTech
มุมมอง 1493 ปีที่แล้ว
Download code from here drive.google.com/file/d/1HJ7BC_NCEL0X9BAUsZS9IGEqTfzh6dHl/view?usp=sharing Welcome to #MEXTech youtube channel.. Please like and subscribe to our channel for more updates related to microcontrollers!!!! thanks for visiting to #MEXTech Website - www.mextechs.co.in Follow us on Facebook - MEXTech-185240245460085/?ref=bookmarks Twitter - MEXTech0000...
AC Current Measurement using Arduino(HINDI)| By MEXTech
มุมมอง 9303 ปีที่แล้ว
Welcome to #MEXTech youtube channel.. Please like and subscribe to our channel for more updates related to microcontrollers!!!! In this video we are going to see, how we can measure AC current using arduino (uno ) and current transformer .. Components used 1) current trasnformer ,20A/1A (20 VA) 2)Resistor- 100k,10k,20k,20k 3)capacitor - 10uf 4) arduino uno for library github.com/openenergymonit...
Simple traffic light controller using 8051 Assembly language | full code + circuit | By MEXTech
มุมมอง 15K5 ปีที่แล้ว
Welcome to #MEXTech youtube channel.. In this video i'll show you a simple traffic light controller project. here i'm using 8051 microcontroller and using proteus software simulation is done. here Assembly language is used for the programming. Download code and simulation files mega.nz/file/mbp3TCKY#yN8z2vQ0ywzJYNZCY5yUfPLIfns8OmABIuHRYO9Sn60 Please like and subscribe to our channel for more up...
OKINAWA PRAISE scooter condition after 1 year | problems coming | true user experience | By MEXTech
มุมมอง 8K5 ปีที่แล้ว
OKINAWA PRAISE scooter condition after 1 year | problems coming | true user experience | By MEXTech
Online monitoring and Plotting Graph in ThingSpeak using arduino| By MEXTech
มุมมอง 2K5 ปีที่แล้ว
Online monitoring and Plotting Graph in ThingSpeak using arduino| By MEXTech
Led chaser circuit using 555 timer ic and 4017 ic with adjustable time delay Proteus simulation
มุมมอง 9K5 ปีที่แล้ว
Led chaser circuit using 555 timer ic and 4017 ic with adjustable time delay Proteus simulation
button and led interfacing with 555 ic| latching led with button| By MEXTech
มุมมอง 2865 ปีที่แล้ว
button and led interfacing with 555 ic| latching led with button| By MEXTech
Control Home appliance using Mobile | By MEXTech
มุมมอง 2075 ปีที่แล้ว
Control Home appliance using Mobile | By MEXTech
Automatic Traffic Light controller using 8051 microcontroller | Full [Code+circuit] | traffic light
มุมมอง 76K5 ปีที่แล้ว
Automatic Traffic Light controller using 8051 microcontroller | Full [Code circuit] | traffic light
Connect NodeMCU (ESP8266) with Blynk App(IoT) | By MEXTech
มุมมอง 7405 ปีที่แล้ว
Connect NodeMCU (ESP8266) with Blynk App(IoT) | By MEXTech
Multiplexing of 7segment display with 3 wired controlled using max7219 and 8051mcu | By MEXTech
มุมมอง 1.4K5 ปีที่แล้ว
Multiplexing of 7segment display with 3 wired controlled using max7219 and 8051mcu | By MEXTech
How 74HC595 Shift Register works | Basic working with proteus simulation in Hindi | By MEXTech
มุมมอง 53K5 ปีที่แล้ว
How 74HC595 Shift Register works | Basic working with proteus simulation in Hindi | By MEXTech
AC VOLTAGE MEASUREMENT BY USING ARDUINO (UNO)|#hindi |By MEXTech
มุมมอง 15K5 ปีที่แล้ว
AC VOLTAGE MEASUREMENT BY USING ARDUINO (UNO)|#hindi |By MEXTech
no libraries found in proteus | Problem Solved, permanent method | proteus 8.6 sp2
มุมมอง 3615 ปีที่แล้ว
no libraries found in proteus | Problem Solved, permanent method | proteus 8.6 sp2
how to make diamod in solidworks | tutorial for beginners | solidworks 2018 l By MEXTech
มุมมอง 1675 ปีที่แล้ว
how to make diamod in solidworks | tutorial for beginners | solidworks 2018 l By MEXTech
Electric Scooter condition after a month | Okinawa praise | Full review | Best electric scooter ever
มุมมอง 143K5 ปีที่แล้ว
Electric Scooter condition after a month | Okinawa praise | Full review | Best electric scooter ever
Lcd 16x2 display and 7 segment display control by 3 wire using shift register and 8051| By MEXTech
มุมมอง 2.5K5 ปีที่แล้ว
Lcd 16x2 display and 7 segment display control by 3 wire using shift register and 8051| By MEXTech
it's all about dream when becomes reality | SAE BAJA | Dart | Off Road Vehicle | 2k18
มุมมอง 5215 ปีที่แล้ว
it's all about dream when becomes reality | SAE BAJA | Dart | Off Road Vehicle | 2k18
introduction video to solidworks| By MEXTech
มุมมอง 955 ปีที่แล้ว
introduction video to solidworks| By MEXTech

ความคิดเห็น

  • @eyosiassolomon9884
    @eyosiassolomon9884 19 วันที่ผ่านมา

    Is there a way that we can apply this using ARM microcontroller

  • @delgiodesousa5939
    @delgiodesousa5939 หลายเดือนก่อน

    Can you use the code with BASCOM-AVR?

  • @mithunsaha9456
    @mithunsaha9456 2 หลายเดือนก่อน

    Thanks sir clk pin high rakkar data pin ko 2 bar high 3 bar low kiya to rusult kya aye ga? I

  • @jiyashah9194
    @jiyashah9194 2 หลายเดือนก่อน

    how to install proteus free version

  • @jasbirsingh6717
    @jasbirsingh6717 2 หลายเดือนก่อน

    I need practical circuit diagram in this circuit you use bus but in practical it can't be used !

  • @ashutoshmehrotra6037
    @ashutoshmehrotra6037 2 หลายเดือนก่อน

    Best explanation to understand how this ic work....great work sir....keep it up sir.... And please can you make a video on MBI5026 ic....how this ic work....this ic have 16 bit output..... please make a video on this ic

  • @newschannel8253
    @newschannel8253 2 หลายเดือนก่อน

    front traffic light is not executing properly it has the delay of 30 sec and at last 10sec it is changing to yellow

  • @user-my6qx1yz2f
    @user-my6qx1yz2f 3 หลายเดือนก่อน

    tabahi

  • @solmanJapan
    @solmanJapan 3 หลายเดือนก่อน

    Nice! You just made a zero tolerance nut and bolt!

  • @shinjitsumasayoshi9072
    @shinjitsumasayoshi9072 4 หลายเดือนก่อน

    what about memory. Will this chip hold the last logic state after a power fail

    • @chhagansinha9729
      @chhagansinha9729 3 หลายเดือนก่อน

      It don't hold last state

  • @2DNaoki.Ch.
    @2DNaoki.Ch. 6 หลายเดือนก่อน

    Sir can u share the coding

  • @hormatferdintositumorang4624
    @hormatferdintositumorang4624 6 หลายเดือนก่อน

    Please share the program coding?🙏

  • @sardarmuneeburrehman1308
    @sardarmuneeburrehman1308 7 หลายเดือนก่อน

    Sir please

  • @sardarmuneeburrehman1308
    @sardarmuneeburrehman1308 7 หลายเดือนก่อน

    Sir tell me about RP1 Respack 8

    • @mextech5332
      @mextech5332 3 หลายเดือนก่อน

      it is 10k ohm pullup register, beacuse 8051 port 0 dont have inbuilt pullup so we have to connect it externally in order to use it general purpose i/o port

  • @sardarmuneeburrehman1308
    @sardarmuneeburrehman1308 7 หลายเดือนก่อน

    Bro tell me the model of 8 way resistor for hardware work and how its look like please urgent this is my semester project

  • @ece-23-m.magdhaleena91
    @ece-23-m.magdhaleena91 8 หลายเดือนก่อน

    Please can u share the program

  • @aashishsonboir662
    @aashishsonboir662 8 หลายเดือนก่อน

    Which platform is this

    • @mextech5332
      @mextech5332 3 หลายเดือนก่อน

      it's proteus

  • @KhanhNguyen-bt1sr
    @KhanhNguyen-bt1sr 9 หลายเดือนก่อน

    you need to make the volume of the video is louder

  • @Lifefacts67
    @Lifefacts67 9 หลายเดือนก่อน

    i dont understand assembly language do u have in c this code

  • @technicalyts
    @technicalyts 9 หลายเดือนก่อน

    Sir what changes in code if i use common cathode 7 segment display?

  • @technicalyts
    @technicalyts 10 หลายเดือนก่อน

    Sir i use 2 digit 7 sigment display common cathode so which pin is use in microcontroller?

  • @ahesanalimasi
    @ahesanalimasi 10 หลายเดือนก่อน

    sir how i buy this same 7segment display please help

    • @chhagansinha9729
      @chhagansinha9729 9 หลายเดือนก่อน

      Check the type in diagram either it is common anode or common cathod 7 seg

  • @ayatobasi4665
    @ayatobasi4665 ปีที่แล้ว

    can i use mblap to write a code ?

  • @buinguyentunglam3857
    @buinguyentunglam3857 ปีที่แล้ว

    can u share the code and proteus plz

  • @vedashree5083
    @vedashree5083 ปีที่แล้ว

    Can u send the explanation of the code please 🥺

  • @ThanhThio-zy2iq
    @ThanhThio-zy2iq ปีที่แล้ว

    thanks pro ,!!!!

  • @TusharP-my5pb
    @TusharP-my5pb ปีที่แล้ว

    Simulator ka naam ????

  • @vedashree5083
    @vedashree5083 ปีที่แล้ว

    Pin no 'd' of 7seg display is not displaying..... Can u help with this😶

  • @esteban1479
    @esteban1479 ปีที่แล้ว

    Hello, where can I find the libraries?

  • @mehnazanjum3304
    @mehnazanjum3304 ปีที่แล้ว

    sir I need the code

  • @ihcenemts966
    @ihcenemts966 ปีที่แล้ว

    How can I do the same with microprocessor 8086 ?

  • @DailyLiveEarner
    @DailyLiveEarner ปีที่แล้ว

    Which software u r using to run code in schematic digram

  • @manhthe8397
    @manhthe8397 ปีที่แล้ว

    sir how to adjust the time

  • @fearlesskralblack289
    @fearlesskralblack289 ปีที่แล้ว

    14:38 how did you write the code

  • @torque6389
    @torque6389 ปีที่แล้ว

    Nice, short and easy to understand! Thank you!

  • @62afshanmajgaonkar4
    @62afshanmajgaonkar4 ปีที่แล้ว

    What is the maximum voltage i can measure with this circuit? I need to monitor the voltage of a 3 phase 415v motor

  • @0000way0000
    @0000way0000 ปีที่แล้ว

    Excellence clip...

  • @subhamdas2491
    @subhamdas2491 ปีที่แล้ว

    Vai tum to dev manush ho

  • @sivakkumar30488
    @sivakkumar30488 ปีที่แล้ว

    Can share me code pla

  • @aneeshbskashyap882
    @aneeshbskashyap882 ปีที่แล้ว

    Hello sir the fourth traffic light is not running so please provide the solution for the problem. Please reply as soon as possible because our project submission is next week.

    • @chhagansinha9729
      @chhagansinha9729 ปีที่แล้ว

      Did u use 10k pull-up register in with port0?

    • @aneeshbskashyap882
      @aneeshbskashyap882 ปีที่แล้ว

      @@chhagansinha9729 Nope the thing was, there was an error while running the project. It was supposed to be run using the administrator. Thank you for replying!!

  • @AjaySaini-jr6ns
    @AjaySaini-jr6ns 2 ปีที่แล้ว

    Thanks sir for your valuable creations. Sir you are the best electronic teacher I have find on TH-cam. You leave no room for confusion. Thanks sir

  • @shahnawazsiddique2200
    @shahnawazsiddique2200 2 ปีที่แล้ว

    Best ever explanation

  • @mahendrachandu8154
    @mahendrachandu8154 2 ปีที่แล้ว

    Good Work. I want to implement hardware. can you send me the hardware connection circuit

  • @studywithrajkumar2778
    @studywithrajkumar2778 2 ปีที่แล้ว

    thanks bhai

  • @unknown-ts3xy
    @unknown-ts3xy 2 ปีที่แล้ว

    Hye sir..i want to ask, whats the different of language instruction for 8051 and p18f458?

  • @askinc102
    @askinc102 2 ปีที่แล้ว

    whats the price of dso-5100 ?

  • @viraldigital9917
    @viraldigital9917 2 ปีที่แล้ว

    Sir can you please make video on iot base transformer halth monitoring in Proteus

  • @mridulahmed3673
    @mridulahmed3673 2 ปีที่แล้ว

    Can i get a circuit stimulation of Automatic Dam shutter control system using microcontroller with waveform results..

  • @skmplanet9591
    @skmplanet9591 2 ปีที่แล้ว

    Very clear explanation 👍

  • @vishnuvardhanreddy2409
    @vishnuvardhanreddy2409 2 ปีที่แล้ว

    @Chhagan Sinha bro what is the resistance of respack-8 and should we add any osilator

    • @mextech5332
      @mextech5332 2 ปีที่แล้ว

      Resistance value 10k In hardware u need to add oscillator (12mhz)