BYU Computing Bootcamp
BYU Computing Bootcamp
  • 33
  • 50 975
Web Technologies 2022
Web Technologies 2022
มุมมอง: 190

วีดีโอ

Unit Testing (2022)
มุมมอง 2072 ปีที่แล้ว
Unit Testing (2022)
VS Code (2022)
มุมมอง 2282 ปีที่แล้ว
VS Code (2022)
Xilinx 7 Series FPGA Deep Dive (2022)
มุมมอง 11K2 ปีที่แล้ว
Xilinx 7 Series FPGA Deep Dive (2022)
FPGA Overview (2022)
มุมมอง 3.1K2 ปีที่แล้ว
FPGA Overview (2022)
Python Part 2 (2022)
มุมมอง 742 ปีที่แล้ว
Python Part 2 (2022)
Tips on Slack (2022)
มุมมอง 842 ปีที่แล้ว
Tips on Slack (2022)
Open Source Software (2022)
มุมมอง 822 ปีที่แล้ว
Open Source Software (2022)
Python Intro (2022)
มุมมอง 1192 ปีที่แล้ว
Python Intro (2022)
Computer Security (2022)
มุมมอง 562 ปีที่แล้ว
Computer Security (2022)
Linux Intro (2022)
มุมมอง 3602 ปีที่แล้ว
Linux Intro (2022)
Docker (2022)
มุมมอง 2342 ปีที่แล้ว
0:00 Background 7:50 Docker vs. VM and WSL 12:47 WebCrawler Example 18:06 Send Code to Server 28:07 Create Docker Image 34:33 Run Docker Image 45:36 Code to Server via Docker 51:12 Reduce Storage Size
Vitis HLS
มุมมอง 11K3 ปีที่แล้ว
0:00 Introduction to High Level Synthesis 8:20 Example function 10:39 Introduction to Vitis HLS 17:43 C Simulation 20:15 C Synthesis 28:30 Seeing the generated Verilog 32:42 Thoughts on increasing the performance 38:19 Using Vitis HLS to optimise performance 57:28 Using main memory
Vivado and Vitis
มุมมอง 13K3 ปีที่แล้ว
0:00 Introduction 8:44 Introduction to block design and hardware configuration 29:34 Preparing and generating a bitstream 42:58 Introduction to Vitis and exporting from Vivado 47:52 Example Vitis project
Networking command line tools
มุมมอง 7553 ปีที่แล้ว
0:00 Introduction 1:19 ifconfig and ip address 6:59 ping 10:34 dig and nslookup 16:18 traceroute 21:05 curl/wget/httpie 30:04 arp 31:53 nmap 37:28 nc 40:38 tcpdump/wireshark/tshark 52:06 Wrap-up
Symbiflow exploration and Symbiflow-examples
มุมมอง 4973 ปีที่แล้ว
Symbiflow exploration and Symbiflow-examples
Fasm2Bels
มุมมอง 1483 ปีที่แล้ว
Fasm2Bels
Project X-ray and FASM
มุมมอง 5703 ปีที่แล้ว
Project X-ray and FASM
Vivado and TCL crash course
มุมมอง 6K3 ปีที่แล้ว
Vivado and TCL crash course
Pytest audio
มุมมอง 1043 ปีที่แล้ว
Pytest audio
How to use Docker
มุมมอง 2373 ปีที่แล้ว
How to use Docker
Github CI and Unit Testing 2
มุมมอง 1123 ปีที่แล้ว
Github CI and Unit Testing 2
Python Packages II
มุมมอง 1463 ปีที่แล้ว
Python Packages II
Pytest and unit testing tutorial
มุมมอง 1373 ปีที่แล้ว
Pytest and unit testing tutorial
Documentation and Sphinx Tutorial
มุมมอง 1.1K3 ปีที่แล้ว
Documentation and Sphinx Tutorial
Python Packages & Environments
มุมมอง 1663 ปีที่แล้ว
Python Packages & Environments
Python Intro Part 2
มุมมอง 1713 ปีที่แล้ว
Python Intro Part 2
CMake Tutorial
มุมมอง 6503 ปีที่แล้ว
CMake Tutorial
Python Intro
มุมมอง 2403 ปีที่แล้ว
Python Intro
GitHub Tutorial
มุมมอง 2803 ปีที่แล้ว
GitHub Tutorial

ความคิดเห็น

  • @ipeooc
    @ipeooc 2 หลายเดือนก่อน

    powerful tools for fpga

  • @larrybuzbee7344
    @larrybuzbee7344 2 หลายเดือนก่อน

    What i want to know is what else di they do un Celestial Heaven, besides vigorously engaging in purely spiritual procreational.

  • @joeneighbor
    @joeneighbor 6 หลายเดือนก่อน

    Woah, for a second there thought that it was Jordan Peterson. Like Jordan knows FPGAs too? Voices IMHO pretty similar 😛

    • @ffdm
      @ffdm 3 หลายเดือนก่อน

      lmfao

    • @joeneighbor
      @joeneighbor 3 หลายเดือนก่อน

      @@ffdm Am I right? Maybe both are Canadian :-P

    • @ffdm
      @ffdm 3 หลายเดือนก่อน

      @@joeneighbor Yeah they definitely sound similar lol

  • @EnglishVibes331
    @EnglishVibes331 6 หลายเดือนก่อน

    the microphone seemed to move from the speaker

  • @rrvcds
    @rrvcds 8 หลายเดือนก่อน

    Respect for bitstream generation reinstated! Thanks for the fundamentals. Are engineering lectures available online?

  • @a.a.1961
    @a.a.1961 8 หลายเดือนก่อน

    Thanks Sir!

  • @233kosta
    @233kosta 10 หลายเดือนก่อน

    I might use a Mecano anaolgy here. If we pretend for a second that Mecano was all there was: A CPU would be like a tape-based music box (built out of Mecano... yeh, bear with it). It's all welded together, so you can't change the mechanism, and provided you feed it the right punched tape it will make great-sounding soft music. An ASIC would be a special music box, maybe it has less range, maybe it has a few more harps, but the music is on a steel drum. Again, all the Mecano bits are welded together, so can't be changed. It plays that one song and it plays it really well. An FPGA, in contrast, is just a box of Mecano parts (sub-assemblies really). Go build what ever you want ;)

  • @233kosta
    @233kosta 10 หลายเดือนก่อน

    Hmm... wouldn't it be sensible for a write-once offering to have a rewritable counterpart for testing purposes? Especially when they come with a $100k price tag...

  • @andrex9195
    @andrex9195 10 หลายเดือนก่อน

    Thanks a lot!👍

  • @mcorrive12
    @mcorrive12 10 หลายเดือนก่อน

    These lectures are so valuable! Thank you!

  • @tombouie
    @tombouie 10 หลายเดือนก่อน

    Thk-you Thk-you

  • @tombouie
    @tombouie 10 หลายเดือนก่อน

    Thk-you Thk-you

  • @WiggumRalph
    @WiggumRalph 11 หลายเดือนก่อน

    Super helpful and informative, thank you (y)

  • @timthompson468
    @timthompson468 11 หลายเดือนก่อน

    Thanks. This does help me understand why it takes so long to synthesize the simple designs I’m experimenting with. Great video.

  • @nabihtalaat691
    @nabihtalaat691 ปีที่แล้ว

    Great job!

  • @francofong
    @francofong ปีที่แล้ว

    Thanks!

  • @Priyajit_Ghosh
    @Priyajit_Ghosh ปีที่แล้ว

    what is the 220 course that's mentioned in this video?

  • @iyyappanr957
    @iyyappanr957 ปีที่แล้ว

    Nice tutorial.. Can you tell me which debugger are you using for programming and debugging in this video? Which one is good to use for multiple CPU's and FPGA core debugging?

  • @mculabs
    @mculabs ปีที่แล้ว

    What an amazing lecture, thoroughly enjoyed it, and learned a ton!

  • @darkeagle999
    @darkeagle999 ปีที่แล้ว

    Extremely good video. Thank you

  • @sheena12024
    @sheena12024 ปีที่แล้ว

    I can’t synthesize why? Using your version too.

  • @vijaysakre5798
    @vijaysakre5798 ปีที่แล้ว

    Hello, Can I have the working code for the vitis please

  • @aleksander5784
    @aleksander5784 ปีที่แล้ว

    Hi, good lecture. I'm just curious what was the book used in this lecture called "v2.0 Posted"?

    • @lili-ql8dh
      @lili-ql8dh ปีที่แล้ว

      me too

    • @alexv1841
      @alexv1841 9 หลายเดือนก่อน

      BUMP

  • @Bwajster
    @Bwajster ปีที่แล้ว

    How do I migrate the HLS Video Library onto the Vitis Vison Library?

  • @Bwajster
    @Bwajster ปีที่แล้ว

    Does Vitis HLS v2022.1 support built-in HLS Functions such as hls::Threshold, hls::Erode, hls::Dilate, hls::Mul, hls::Duplicate, hls::MinMaxLoc, hls::CvtColor etc. ?

  • @fernandoi8958
    @fernandoi8958 2 ปีที่แล้ว

    58:03 this indentation is a test to my ocd...

  • @user-bj3qh4nl1k
    @user-bj3qh4nl1k 2 ปีที่แล้ว

    47:00

  • @HansBaier
    @HansBaier 2 ปีที่แล้ว

    Very good introduction! I currently add Kintex support for prjxray and this is great to introduce new contributors!

  • @philpesce
    @philpesce 2 ปีที่แล้ว

    Thanks for putting this together!

  • @curtisnotestine3134
    @curtisnotestine3134 2 ปีที่แล้ว

    Did you find the error? What was the fix?

    • @johnjj2637
      @johnjj2637 2 ปีที่แล้ว

      For one thing, he used _BASEADDR instead of _DEVICE_ID with XGpio_Initialize().

    • @user-yx5ui1bh6k
      @user-yx5ui1bh6k 10 วันที่ผ่านมา

      @@johnjj2637 bro, in the libary "xparameters.h", there only XPAR_AXI_GPIO_0_BASEADDR, no _DEVICE_ID was declared there

  • @alex13dumi
    @alex13dumi 2 ปีที่แล้ว

    The best tutorial for beginers on HLS ! Fun to watch and i understood every bit of information. Keep doing it ! Great work !

  • @oumaimameftouh9605
    @oumaimameftouh9605 2 ปีที่แล้ว

    can you do one about cmake and can you do a lot of c++ embedded tutorials i really enjoy them

  • @dieterbohlic
    @dieterbohlic 2 ปีที่แล้ว

    Great Job!

  • @laylash2531
    @laylash2531 2 ปีที่แล้ว

    Please I want frame buffer code in c++

  • @ambujsahu4957
    @ambujsahu4957 2 ปีที่แล้ว

    This is the best tutorial on the internet on HLS and optimizations! Thank you for sharing this!

  • @BowBeforeTheAlgorithm
    @BowBeforeTheAlgorithm 3 ปีที่แล้ว

    Interesting talk! Did the guest speaker allow his talk to be reposted?

  • @Print43
    @Print43 3 ปีที่แล้ว

    hi