VLSI Verification Process - All that you can learn under 7 mins!

แชร์
ฝัง
  • เผยแพร่เมื่อ 27 ต.ค. 2024
  • This video explains the complete verification process. How do we verification engineers start off with the verification plan, create testbench and testcases in SystemVerilog, and then finally how we automate the regression testing of the DUT.
    To learn Verification in detail, please explore our online verification course at elearn.maven-s... or reach us at 080 6909 6300 | admission@maven-silicon.com
    VLSI Verification Course is a front-end VLSI Course, with a good overview of functional verification methodologies and SystemVerilog language. It explains the details of building a class-based verification environment using SystemVerilog HDVL.
    This course is unique and is completely based on a standard testbench architecture that can be used for creating SystemVerilog testbenches. And they can be easily migrated to the UVM framework. Also, we use two main examples throughout the course to explain all the methodology and language concepts. One is a small dual-port RAM RTL design which is used for explaining all the language concepts in detail, especially for the testbench implementation. The other one is a complex SOC design which is used for explaining the use-cases of certain SystemVerilog language features and challenges of migrating IP level testbenches to SOC level testbenches.
    Modules:
    Verification Methodology Overview
    SystemVerilog for Verification
    Universal Verification Methodology Overview
    Stay ahead in your VLSI training & career with our VLSI courses.
    #systemverilog #vlsitraining #vlsicourses #vlsicareer

ความคิดเห็น •