D flip-flop

แชร์
ฝัง
  • เผยแพร่เมื่อ 14 พ.ค. 2024
  • Building on the D latch from the previous video ( • D latch ), the D flip-flop has a "clock" input instead of an "enable" input and stores data just on the rising edge of the clock.
    Support me on Patreon: / beneater
    You can get all the components used in this video from any online electronic components distributor for a few dollars.
    Complete parts list (everything in this video):
    1x 74LS02 (Quad two-input NOR gate)
    1x 74LS08 (Quad two-input AND gate)
    2x 330Ω resistor
    1x 1kΩ resistor
    1x 0.1µF capacitor
    2x Momentary "Microtivity 6mm tact switch"
    4x LEDs
    Solderless breadboard(s)
    22 gauge wire
    USB charger and cable or some other 5v power source

ความคิดเห็น • 507

  • @laius6047
    @laius6047 7 ปีที่แล้ว +1042

    it amazes me that at some point people had to invent these things

    • @zoefowler7002
      @zoefowler7002 6 ปีที่แล้ว +190

      It is even more amazing when you consider the complex series of steps we had to take to even be ready to invent these. Harnessing electricity, generating electricity, resistors, capacitors, inductors, vacuum tubes and even wire. All had to be invented so to put 3 scientist in a position to make the transistor.

    • @andrewpersaud4144
      @andrewpersaud4144 6 ปีที่แล้ว +72

      You are using "people" very casually. Fact is, it is usually a select few every so often that are blessed with genetics to see things in a way others can't. 99 percent of population from current living to deceased can't invent complex things like this. They can only take what's already found and innovate. Any useful innovations are usually limited to a select few as well.

    • @ohaRega
      @ohaRega 6 ปีที่แล้ว +142

      It's not necessarily the smarts, or the "ability to see things in a way others can't". Yes, that helps, but they weren't the only smart people around.
      The most important factor is being born into the right socioeconomic environment where you're given the chance to study in good universities (and not have to herd the cattle or do labor to stay alive), and even be born with the right *gender* to be taken seriously - which eliminates 50% of all potential geniuses - if we're talking pre-20th century.

    • @trey6093
      @trey6093 6 ปีที่แล้ว +2

      *Touching guys, really... this got me right in the feels xD

    • @fasligand7034
      @fasligand7034 6 ปีที่แล้ว +9

      I am feeling enlightened just by listening to this guy explaining, I can't even imagine how it feels to invent it by yourself :D

  • @NotMassLucks
    @NotMassLucks ปีที่แล้ว +100

    14:45 I am still amazed at how coordinated you are doing three separate things at once: moving the pen at a constant speed and pressing two different buttons at different times in different combinations.

    • @SpringySpring04
      @SpringySpring04 3 หลายเดือนก่อน +3

      It's almost like playing music. The coordination ability required is crazy

  • @kei_otake
    @kei_otake ปีที่แล้ว +62

    Having watched 5 videos previous to this, from transistor logic gates to the D latch, the use of the inverter delay in the edge detection circuit blew my mind to pieces. Biggest crossover event in cinematic history

    • @audiodood
      @audiodood ปีที่แล้ว +5

      compuedtr

    • @JohnVance
      @JohnVance ปีที่แล้ว +7

      Dude me too holy shit, I'm like you can't do that, that's illegal.

    • @eldarshamukhamedov4521
      @eldarshamukhamedov4521 ปีที่แล้ว +5

      @@JohnVance for sure, circuit diagrams as a mental model are so useful, it's easy to forget that they are mere approximations

  • @high_runner
    @high_runner 3 ปีที่แล้ว +165

    Using propagation delay of gates to build an edge detection circuit always reminds me of the quote, "when life gives u lemon, make lemonade out of it"

    • @usersn300
      @usersn300 2 ปีที่แล้ว +2

      Nicely put!

    • @ElectroProjects
      @ElectroProjects 2 ปีที่แล้ว +1

      @@usersn300 really

    • @chitlitlah
      @chitlitlah ปีที่แล้ว

      @@ElectroProjects Indubitably.

    • @whannabi
      @whannabi ปีที่แล้ว

      I hope these gates are powered by lemon too then.

    • @TerrisLeonis
      @TerrisLeonis ปีที่แล้ว +8

      I know I've been doing too much redstone circuitry in Minecraft when I think "oh yeah, 1 tick inverter delay, that makes perfect sense"

  • @iLikeKittens
    @iLikeKittens 7 ปีที่แล้ว +334

    You are every nerd's dream teacher

    • @sunflower4031
      @sunflower4031 3 ปีที่แล้ว +1

      i'm a nerd and you have a point

    • @Amir_Plays_non_stop
      @Amir_Plays_non_stop 3 ปีที่แล้ว

      @@sunflower4031 Nerds don't play among us...

    • @gatedrat6382
      @gatedrat6382 3 ปีที่แล้ว +5

      @@Amir_Plays_non_stop ??? huh

    • @Amir_Plays_non_stop
      @Amir_Plays_non_stop 3 ปีที่แล้ว +1

      @@gatedrat6382 dont huh me its true nerds just study no time for among us

    • @DrewWalton
      @DrewWalton 2 ปีที่แล้ว +6

      @lupo "flipping true"
      I see what you did there.

  • @DJayDiamond
    @DJayDiamond 5 ปีที่แล้ว +101

    After 3 months of nonsense lectures at uni it take me about 20 minutes of watching your videos on latches and flip flops to completely understand it! Thank you so much for making these :D

    • @nd685
      @nd685 4 ปีที่แล้ว +1

      Same here!!

    • @gsilos
      @gsilos 3 ปีที่แล้ว +7

      im watching this today, when im 40. and this kind of video makes me to think that sometimes the purpose of a uni is not to teach us, but just to take our money and time. :( but im not sure about this feeling... maybe I changed a lot since my uni times... maybe, back in that time, when I was younger, I was not ready to listen. but I will never know, because I never saw someone teaching eletronics like Ben does in my whole life. even in the uni times. maybe, back In my uni times, I could not listen because I didnt see teachers like Ben. His energy to teach us is magic.

    • @iljadenisovs4659
      @iljadenisovs4659 3 ปีที่แล้ว

      Same here!

    • @lucasramirez320
      @lucasramirez320 2 ปีที่แล้ว +2

      Ben explains Better than my Prof who has a Phd

    • @andy982183
      @andy982183 ปีที่แล้ว

      ​@@gsilos Same here, I feel we need a good mentor in our life to show us path.

  • @p1nesap
    @p1nesap 8 ปีที่แล้ว +141

    man that breadboard time machine is crazy!

  • @dakotahrivers6640
    @dakotahrivers6640 3 ปีที่แล้ว +7

    the edge detector is so clever. Never would have thought to exploit the delay in an inverter to create a quick pulse.

  • @amirdaniali4065
    @amirdaniali4065 4 ปีที่แล้ว +1

    Thank you Ben for making these amazing set of videos available for anybody on the internet who just wishes to learn. I can't describe how much this means to me. THANK YOU.

  • @yerriswamyk.m6530
    @yerriswamyk.m6530 7 ปีที่แล้ว +261

    You are wonderful teacher , keep posting videos and make us educate, can you make a video on pull up and pull down resistors.

    • @tberry7348
      @tberry7348 6 ปีที่แล้ว +1

      yerriswamy k.m he discussed that pretty thurally in previous videos in the play list.

    • @gokuvegeta9500
      @gokuvegeta9500 3 ปีที่แล้ว

      @@tberry7348
      I couldn't find it

    • @zrt3128
      @zrt3128 3 ปีที่แล้ว +2

      @@gokuvegeta9500 basically, in a logic circuit you need to have either a 1 or a 0, you can't just disconect a wire, cuz that'll make it go to a random state. the pulldown resistor is conected to ground, so by default, the value is 0,but when the 1 comes in it will take 1 as the value it'll work with because of the resistor

    • @ElectroProjects
      @ElectroProjects 2 ปีที่แล้ว

      .

    • @ElectroProjects
      @ElectroProjects 2 ปีที่แล้ว

      .

  • @antonnym214
    @antonnym214 8 ปีที่แล้ว +9

    Very nice explanation of the flip-flop! I enjoyed learning about the delay which causes the true condition on the AND gate output. Very cool!

  • @aidanstanford6742
    @aidanstanford6742 4 ปีที่แล้ว +33

    It feels like I learn more from these videos than from school, imagine that!

  • @nilupulperera
    @nilupulperera 5 ปีที่แล้ว +1

    Excellent! Everybody studying computer science must watch these video series. Thank you so much for your effort and time. Kindly think about to do more related to this topic. You are an extraordinary teacher.Thank you again Ben.

  • @silverbladeii
    @silverbladeii 2 ปีที่แล้ว +5

    what amazes me is that Redstone is so similar to all this. The first flip flop I learned in bedrock edition is a d flip-flop

  • @ThePenguinsRpurple
    @ThePenguinsRpurple 5 ปีที่แล้ว +1

    This is SUPER helpful. I'm taking a computer architecture class online and didn't understand the book. This cleared it up for me.

  • @Mate-mate
    @Mate-mate 4 ปีที่แล้ว +1

    This is a wonderful explanation of D flip flop. As a computer science student, I salute to you for this amazing video!

  • @okiedokie9430
    @okiedokie9430 2 ปีที่แล้ว +9

    Amazing how you explain all these things step by step! I wish you were my teacher years ago. If they explained electronics the way like you do then my country would probably be on Mars already.

  • @WhitEagle7
    @WhitEagle7 5 ปีที่แล้ว +8

    dude I kid you not I left computer engineering in favor of physics because my instructor couldn't explain this well enough! in 3 videos that took me about 30 minutes to watch I got it all!

  • @christianmiranda331
    @christianmiranda331 ปีที่แล้ว

    Beautifully explained! Makes me shiver how it was explained so simply.

  • @himprakashdas4482
    @himprakashdas4482 5 ปีที่แล้ว +1

    You make things so much simple with those circuits. Thank you so much.

  • @JonathasPatrick
    @JonathasPatrick 5 ปีที่แล้ว +3

    Man that was helpful AF, your explanation helped me to build a d flip-flop that transitions from 1 to 0 instead of 0 to 1, just replace the "And" gate with an "XNOR" gate

  • @canofsoda
    @canofsoda 4 หลายเดือนก่อน

    I just got an electronic kit and ive been binging these videos. Ben Eater is definitely to 50 people ever. like everyone else who's commented on his videos has said, everything just makes sense. he doesn't tend to explain things that don't need to be explained, and the things that do need to be explained are explained at the right speed, at the right time. i wish i could be in his class

  • @thehighscore6218
    @thehighscore6218 2 ปีที่แล้ว

    Wow, its amazing how easy it was to learn this because to a clear and able to show it using your circuts. If only you were my electrical professor.

  • @eliphusmeko9263
    @eliphusmeko9263 7 ปีที่แล้ว

    thanks for your support towards my understanding Mr Ben

  • @hightttech
    @hightttech 4 ปีที่แล้ว +1

    VERY WELL DONE! Needed a little refresher during troubleshooting, and this video was perfect.

  • @burhanuddin127
    @burhanuddin127 2 ปีที่แล้ว +1

    This guy is so so so so so underrated.... I challenge no one is explaining this kinda topics currently on the you tube.

  • @walshrd
    @walshrd 3 ปีที่แล้ว

    Excellent video. This guy knows exactly how to teach electronics. None better.

  • @rosshiscock8317
    @rosshiscock8317 7 ปีที่แล้ว +6

    You're an excellent teacher, thank you very much for taking the time to make these videos.

  • @johndoe-bq1xt
    @johndoe-bq1xt 5 ปีที่แล้ว

    Your videos are great because they're bringing me back "To - The - Days". In my COMPE270 Logic Design Class, we did all our designs on LogicWorks software. Then we did the real circuits next semester in the COMPE470L Lab. I remember my fried fried the logic chip network and a few capacitors by accidentally connecting the network up to the 15v supply instead of the 5v supply. The electrolytic caps all smelled like baked bread! Oh the GooD times......

  • @bestcuriosity_1420
    @bestcuriosity_1420 6 ปีที่แล้ว

    Love your all tutorial sir,
    Your way of teaching with practical approach is fabulous
    We would love to see more videos of electronic and communication.
    Thank you

  • @electra.academy
    @electra.academy ปีที่แล้ว +1

    Gee - and I always thought that D-Latch and D-FLipFlop were identical and were just German/English terms. Thanks a lot!!! very well done video. I immediately corrected my simulator....

  • @kunwarfk
    @kunwarfk 7 ปีที่แล้ว +2

    You are such an awesome teacher!!! I am so grateful for this video.

  • @naveenkakada
    @naveenkakada 3 ปีที่แล้ว

    It's amazing to see it practically on breadboard

  • @AaronLin721
    @AaronLin721 2 ปีที่แล้ว

    Absolutely amazing. You make EE fun to learn. Thank you so much.

  • @WhoWantsToKnow81
    @WhoWantsToKnow81 4 ปีที่แล้ว

    I love the fact that Ben's explaining how a major component in a 8-bit shift register works. ;)

  • @sachitdalwadi
    @sachitdalwadi 2 ปีที่แล้ว

    You have no idea how helpful your videos to me thanks

  • @Rotorbladesus
    @Rotorbladesus 7 ปีที่แล้ว +1

    Thank you for posting this! This is exactly what I need to further expound on a circuit I'm building. I will post a link to a video of the circuit if/when I get it functioning. Thank you.

  • @alvinasef
    @alvinasef 5 ปีที่แล้ว +1

    Thank you for the detail explanation. Keep up the great work !!

  • @AshWeber-Campbell
    @AshWeber-Campbell ปีที่แล้ว

    Thank you so much! I got covid and missed my lectures going over this, and your videos helped a lot. thank you

  • @dkfactotum
    @dkfactotum 3 ปีที่แล้ว +1

    What a coordination! I'm pretty sure it would take me tens of tries to follow those signals at the same time XD

  • @streamindegenerate4339
    @streamindegenerate4339 5 ปีที่แล้ว +1

    Another great video, 'events happening on the rising edge of the clock input/pulse' should make sense to usual programmers

  • @anmolpurty2416
    @anmolpurty2416 3 ปีที่แล้ว

    Very nice explanation. It leaves no room for any doubt. Great work Sir.

  • @josedominguez2021
    @josedominguez2021 7 ปีที่แล้ว +3

    Explain this is not easy, u do so clear. Thanks.
    I love Relays.

  • @harryrotter7288
    @harryrotter7288 ปีที่แล้ว

    omg, thank you so much! It´s the night before my computer architecture exam and you saving me right now.

  • @vuquanghuy55
    @vuquanghuy55 2 ปีที่แล้ว

    your video was well prepared and has a best quality

  • @francoisguyot9770
    @francoisguyot9770 2 ปีที่แล้ว +2

    You'rte a great teacher, Ben. I love the way you evolve from the SR to the D flip-flop by incrementally adding complexity to the circuit showing the evolution of design techniques.

  • @cindypangs4173
    @cindypangs4173 9 หลายเดือนก่อน

    This makes so much more sense than whatever my professor was trying to do...if i pass it is all due to your videos!

  • @jamesmccoy8568
    @jamesmccoy8568 3 ปีที่แล้ว +2

    Thanks for explaining how the clock edges are detected, that was a mystery to me

  • @paoarsu2363
    @paoarsu2363 6 ปีที่แล้ว +2

    Ben Eater is the best thank you so much and keep teaching please!

  • @napoleon3242
    @napoleon3242 3 ปีที่แล้ว

    these videos are a must-watch for anyone interested in electronics.

  • @theankushjain
    @theankushjain 7 ปีที่แล้ว

    please make more and more videos that explain how a computer works internally. Your videos are really helping me in my MCA. Also make video on how different types of registers work.

  • @RyanAndersonTechnical
    @RyanAndersonTechnical 3 ปีที่แล้ว

    superb content - thank you for making this so consumable for the next generation(s)

  • @RobUttley
    @RobUttley 4 ปีที่แล้ว

    I feel like a little light went on there (no pun intended). I wish this had been explained to me this way in the past. Thank you, I really appreciate that. Great explanation.

  • @pressgreen
    @pressgreen 5 ปีที่แล้ว +2

    This is the best thing I have ever seen. You should get an award or something for these videos.

    • @emberleona6671
      @emberleona6671 3 ปีที่แล้ว

      Yes. I agree I wish I had a non profit openinvent.club website and I would give ben eater a commendation.

  • @BrendaEM
    @BrendaEM ปีที่แล้ว +1

    Rewatching these videos. Thanks Ben!
    It's probably not necessary, but the output could be put through a comparitor for a square pulse, though a Shmitdt trigger would be better, yet, I will try to use a an Op-amp to make a comparitor.

  • @valizeth4073
    @valizeth4073 ปีที่แล้ว

    Really appreciate these videos, our professors lectures are a bit hit or miss and some areas are difficult to understand. But your videos are excellent in quality!

  • @ucantSQ
    @ucantSQ 5 ปีที่แล้ว +1

    Does anybody else feel the excitement building as the pieces come together?

  • @arth1723
    @arth1723 2 ปีที่แล้ว

    You made a great job please don't stop share videos

  • @JackSalzman
    @JackSalzman ปีที่แล้ว

    Objectively the best Minecraft redstone tutorial

  • @weststarr2046
    @weststarr2046 7 ปีที่แล้ว +2

    Marvelous way of teaching....blessings friend!

  • @Dynamik100
    @Dynamik100 3 ปีที่แล้ว +1

    the best explanation ever, congratulations!

  • @saarike
    @saarike 3 ปีที่แล้ว

    Excellent information about interesting circuits! I learned something new. My humble thanks.

  • @divyapatel4498
    @divyapatel4498 5 ปีที่แล้ว

    very good explanation. Thank you very much. Keep Sharing your knowledge

  • @ReplicateReality
    @ReplicateReality 4 ปีที่แล้ว +2

    that edge detector blows my mind.

  • @nagadasarivenkatesh9669
    @nagadasarivenkatesh9669 4 ปีที่แล้ว +1

    Wonderful sir! really amazing explanation!

  • @asdfplkjh5541
    @asdfplkjh5541 ปีที่แล้ว

    You are the god of digital design much better tha my professor explains nothing on hour long classes lol

  • @jackardis3797
    @jackardis3797 5 ปีที่แล้ว +2

    yet more proof that capacitors are magic and anyone who understands them is a wizard. I couldn't make any sense of that edge detector thing.

  • @jonesstefan
    @jonesstefan 4 ปีที่แล้ว +8

    goddamn bro I learnt faster and better from your videos about latches and flip flops than the lectures of my drunk teacher xD thank you!

  • @bavishkasathyanjana1384
    @bavishkasathyanjana1384 2 ปีที่แล้ว

    wow and wow... your teaching skill is amazing sir

  • @michaelbradley7621
    @michaelbradley7621 ปีที่แล้ว

    In my digital electronics class we were never taught that we needed an edge detector. I always wondered why we could change on the rise from 0 to 1 but not when clk was at 1.

  • @aamirbangash985
    @aamirbangash985 4 ปีที่แล้ว

    You made my Day Ben!

  • @konozbinrashid7774
    @konozbinrashid7774 4 ปีที่แล้ว +1

    Brilliant as always.

  • @MantisRay861
    @MantisRay861 8 ปีที่แล้ว

    nice tutorial, starting to make sense!

  • @F3udF1st
    @F3udF1st 4 ปีที่แล้ว

    T-flipflops are very useful for counters!

  • @ObiWanBillKenobi
    @ObiWanBillKenobi ปีที่แล้ว +1

    Reminds me of Doc's 1885 refrigerator in BTTF3. 😊

  • @nonamex3052
    @nonamex3052 5 หลายเดือนก่อน

    Love you ben(no homo). Just the way you explain things i can understand instantly without having to rewind most of the time. Your way of teaching needs to be studied

  • @victorpatrick1217
    @victorpatrick1217 2 ปีที่แล้ว

    Very cool, I have done my electrical, but I am very interested to learn electronics and your teaching is superb, so please do more basic Videos👍

  • @ratedRF
    @ratedRF 7 ปีที่แล้ว +1

    Ben, thank you so much for this video. this video was exactly what I needed to begin building my "atomic clock" (WWVB Receiver/Decoder). I'm documenting my project here on TH-cam. I'd love for you to take a look and let me know what you think. Thanks again for sharing your knowledge thru all your great videos!

  • @user-rr7xc9ih3r
    @user-rr7xc9ih3r ปีที่แล้ว

    i literally hated electrical coz of the way it was taught in our uni, you just made it so damn interesting, tysm

  • @YuriccAmorim
    @YuriccAmorim 2 ปีที่แล้ว

    amazing very good studying digital electronics watching these support videos helps a lot in learning

  • @PutinGayTwin
    @PutinGayTwin 6 ปีที่แล้ว +1

    damn yo! better than the prof and the teaching assistant that i have. Subscribed!!!!

  • @PhilipBlignaut
    @PhilipBlignaut 5 ปีที่แล้ว +1

    Wonderful tutorials!!

  • @chinasfs
    @chinasfs ปีที่แล้ว

    a great explanation ... thumbs up!

  • @IceFromTheSun
    @IceFromTheSun 3 ปีที่แล้ว

    Here we go again, Ben Eater inspiring hundreds of thousands of people and a new whole generation of self-taught engineers...

  • @Uniquelife_17
    @Uniquelife_17 5 ปีที่แล้ว +3

    you are great man
    god bless you!!!
    i am big fan of you from india

  • @danser_theplayer01
    @danser_theplayer01 ปีที่แล้ว +1

    10:00 I build it in a game with logic gates that have a speed of 40 "ticks" fancy word for hertz. When I press a button it takes 1 tick to activate the AND and to activate the NAND/NOR fed into AND then another 1 tick to deactivate AND because NAND changed to 0, which results in a 1 tick pulse and complete ignore afterwards unless you stop pressing the button and press it again.
    It's the only way to do that because the game doesn't have voltages or wires or current etc. It's either on or off, and connections are wireless (very convenient).

  • @Gotenham
    @Gotenham 4 ปีที่แล้ว +1

    fantastic explanation thank you

  • @yuwuxiong1165
    @yuwuxiong1165 2 ปีที่แล้ว

    Wonderful lecture! Thanks!

  • @shreya_sinha.
    @shreya_sinha. 6 ปีที่แล้ว

    very well explained ! thankyou

  • @Skiddla
    @Skiddla 4 ปีที่แล้ว

    really easy to edge my rising clock pulse to this video

  • @QDWhite
    @QDWhite 4 ปีที่แล้ว +1

    Interesting note, the RC edge detector is effectively a high pass filter which lets the high frequency components of the square wave across.
    It could also be viewed as a circuit that takes the derivative of the square wave. At steady low state, the derivative is zero. When a rising edge hits, the rate of change is high but then quickly goes back to zero with the steady high state.

    • @emberleona6671
      @emberleona6671 3 ปีที่แล้ว

      In music is this called the envelope. Or a triangle wave.

  • @fifaham
    @fifaham ปีที่แล้ว

    @12:12 the width of the Pulse is conceptual because that depends on the input of the gate receiving that pulse. So the width will span the horizontal level of the AND gate input, and the conceptual level starts at the trigger level and ends at it, then it rolls down after falling below that level.

  • @TheLunaLockhart
    @TheLunaLockhart 4 ปีที่แล้ว +1

    Logisim broke when trying to make a DFF in this configuration, so I emulated the function by running 7-off, 1-on with the clock component at 8hz, could be 16 if I wanted to get even closer to instantaneous ticking. Anyway, thanks for the work you do! It's really helping me understand all this crazy stuff that goes on in computers and the embedded solutions (usually SOC) found in many devices we don't think of as computers

    • @TheLunaLockhart
      @TheLunaLockhart 4 ปีที่แล้ว +1

      (yes, I know there's a DFF module, but building it is an important part of learning its function)

    • @emberleona6671
      @emberleona6671 3 ปีที่แล้ว

      @@TheLunaLockhart did you try the logic gates edge detector instead of the resistor capacitor version of edge detection. Also lower the clock speed in the sim. Electronics workbench ??

  • @soheilmohammadi5876
    @soheilmohammadi5876 2 ปีที่แล้ว

    If you could make packages of the components used for these lessons and start selling them online it would be amazing! Now when I wan to acquire them I have to buy a lot of them without actually even knowing how many I need in you next videos 😅

  • @TheMechatronicEngineer
    @TheMechatronicEngineer 5 ปีที่แล้ว +14

    At 3:08 I nearly fell off my chair! Way to go, man!

    • @Amir_Plays_non_stop
      @Amir_Plays_non_stop 3 ปีที่แล้ว +1

      HAHAHAHAHAHA same bro. If u know it is a full microcontroller, and when I saw it I got scared don't ask me why XD

    • @NickiRusin
      @NickiRusin 3 ปีที่แล้ว

      it's a powerful moment, for sure

  • @fasligand7034
    @fasligand7034 6 ปีที่แล้ว +1

    I think the inverter-delayed flip flop would also set off on a "downward" spike (that is input switching from 1 to 0). Not saying this would be a bad thing, possibly leading to doubling the actual clock frequency

  • @fifaham
    @fifaham ปีที่แล้ว

    @10:59 >>> this method of pulse creation is used in one of the security systems to detect the signature of the acousto-magnetic pulse signature - so by controlling the width of the generated pulses (via a variable cap controlled by an MCU) and taking iterated measurements (Assembly code is used as C code is not fast enough) the wave signature of the system can be detected and an alarm will be issued once the signature is matched to the pattern stored in the EEPROM of the chip. Digital-CAPs are available from vendors that can generate variable cap values through the SPI or UART port.

  • @tombrady7390
    @tombrady7390 4 ปีที่แล้ว

    Ur soo passionate about science

  • @davidsk8s77
    @davidsk8s77 6 ปีที่แล้ว +6

    At 14:15 you show a sweet set of needle nose pliers, where can I get my hands on some? Thanks!

  • @nd685
    @nd685 4 ปีที่แล้ว

    Can't thank you enough!!!!!!! Amazing amazing videos!!!!!