How to display a variable in the ModelSim waveform

แชร์
ฝัง
  • เผยแพร่เมื่อ 28 ส.ค. 2024
  • The blog post with the block RAM code:
    vhdlwhiz.com/v...

ความคิดเห็น • 4

  • @redkoll4789
    @redkoll4789 ปีที่แล้ว

    Love the Holmestrand-Engelsk!
    Great work

  • @ivanbk1248
    @ivanbk1248 3 ปีที่แล้ว

    As example of modelsim usage this video is nice, but i believe that it's not a good practice to create memory on variable.

    • @VHDLwhiz
      @VHDLwhiz  3 ปีที่แล้ว +1

      Yeah, I agree with you. I mentioned some reasons why I think it's not a good idea in this blog post: vhdlwhiz.com/variables-for-registers-or-memory/

    • @JNeverMindMe
      @JNeverMindMe 5 หลายเดือนก่อน

      With the exception of a True Dual Port RAM that requires a shared variable definition. The rest is best to be built with signals, if possible.