Introduction to FPGA Programming using Quartus Prime Lite (with VHDL)

แชร์
ฝัง
  • เผยแพร่เมื่อ 14 ก.ค. 2021
  • Introductory video into the programming of FPGAs. Specifically, in this video, Quartus Prime Lite is used to program an Intel (Terasic) DE10-Lite Board.
    Resources that you might find useful:
    The Intel Manual for the DE10-Lite Board: www.intel.com/content/dam/www...
    The Terasic files that include the DE10-Lite Board's manual and a control panel for interacting with the board without writing a line of code, and a tool for generating a qsf file for your projects: www.terasic.com.tw/cgi-bin/pa...
    Intel's courses and laboratory exercises for the DE10-Lite Board (and others): software.intel.com/content/ww...

ความคิดเห็น • 43

  • @mtopangaprimo2556
    @mtopangaprimo2556 2 หลายเดือนก่อน +1

    Hallo I want to give you much thanks to everything i learnt on this video.Really.I found the QSF Master file.did the changes like you recommended. I followed along and all my LEDs on fpga worked.I am so glad that you uploaded a full video on such topic from installation to creating ones project, and not short cut videos.Thank you alot.

  • @user-gt3rr8kp5w
    @user-gt3rr8kp5w 6 หลายเดือนก่อน +2

    I have some experience with Quartus and the DE10 Lite board, so this is a refresh for me. This video is VERY good. It moves at a moderate pace, not too fast. The speaker is very easy to understand and everything is described clearly. Well done!

    • @OlawaleAkinwale
      @OlawaleAkinwale  6 หลายเดือนก่อน

      Thank you very much for the kind words.

  • @edwintan8020
    @edwintan8020 2 ปีที่แล้ว +3

    Hi, first of all, Thank you very much for sharing this video. To be honest, I couldn't have managed without it.
    I'm a beginner who is trying to program an Arria10 FPGA board by using the new High-Level Synthesis Compiler instead of the traditional HDL implementation method. I have been stuck for months and your video really helped me get through.

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 ปีที่แล้ว +1

      I'm glad it is helpful. Thank you.

  • @em2129
    @em2129 3 หลายเดือนก่อน +1

    What a legend. Thank you!

  • @tascker0
    @tascker0 8 หลายเดือนก่อน +1

    Many thanks, this was very helpfull and very clear.

  • @muambiroi7167
    @muambiroi7167 2 ปีที่แล้ว

    Thanks so much for sharing this

  • @billthekid3634
    @billthekid3634 9 หลายเดือนก่อน +1

    Perfect!

  • @samb1637
    @samb1637 ปีที่แล้ว

    Thank you for the amazing video that really helps me a lot. In terms of the master qsf file, how do you download and stored, in what format to be used in the pin assignments?

    • @OlawaleAkinwale
      @OlawaleAkinwale  ปีที่แล้ว

      I'm sorry for my late response. I recommend using the system builder program that is on the CD for your FPGA development board. For the DE10-Lite it is on Terasic's website (download.terasic.com/downloads/cd-rom/de10-lite/DE10-Lite_v.2.1.0_SystemCD.zip). In the tools folder, select system builder. It can be used to create the "master.qsf" file or honestly the qd file with just the pin assignments you want. It also creates a Quartus project folder though you can just delete this keep just the qsf file located in the folder.

  • @hectorvidales876
    @hectorvidales876 ปีที่แล้ว +1

    Thank you!

  • @mumarmasood
    @mumarmasood 2 ปีที่แล้ว

    Hi, thanks a lot. this video was really helpful
    In the start you mentioned that this pdf is Intel document. Can you share some info about it? I was looking for such example exercises for the beginners

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 ปีที่แล้ว

      Yes, sure. The manual is available on Intel's Website at www.intel.com/content/dam/www/programmable/us/en/portal/dsn/42/doc-us-dsnbk-42-2912030810549-de10-lite-user-manual.pdf

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 ปีที่แล้ว

      Another great resource is on Terasic's website: www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=234&No=1021&PartNo=4 The files here include the manual for the board but also a control panel that can be used to interact with the board without writing a line of code - just to demonstrate its capabilities.

  • @Lightoverthedarkness7430
    @Lightoverthedarkness7430 11 หลายเดือนก่อน

    Nice lecture bro

  • @mtopangaprimo2556
    @mtopangaprimo2556 2 หลายเดือนก่อน

    First thank you for this increadable video.I Have an issue though I can not find that second master qsf file.I got the one you called peenut but not the second one.If its ok to ask, where do i get it because it definately not in my ordner file.Thank you

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 หลายเดือนก่อน +1

      You are welcome. The master qst file is publicly available on Intel's website. If you do a search for "DE10-Lite Master qsf file" on Bing, you should get the file as the top result. (For example, www.bing.com/search?q=de10-Lite+master+qsf+file). Weirdly, I didn't get the qsf file as the top result in a Google search. If you are still having trouble finding it, please let me know and I can download it and put it in a github repository for you.

  • @asphxdel
    @asphxdel 8 หลายเดือนก่อน

    thank you so much

  • @dismasezechukwu1184
    @dismasezechukwu1184 2 ปีที่แล้ว

    Where did you get the boards qsf file at 15:25 from? please provide link DE10_Lite.qsf.
    Good job my guy! clear and concise.

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 ปีที่แล้ว

      You can find the qsf file at www.dropbox.com/s/1whfxltk75fvyf2/DE10_LITE.qsf?dl=0 . You will need to modify this file for your project, using any text editor.
      Optionally, you can get the qsf file using the DE10-Lite System Builder application made available by Terasic. You can find this in the DE10-Lite CD ROM (www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=218&No=1021&PartNo=4). The System Builder can be used to generate the qsf file for your projects.

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 ปีที่แล้ว

      Thank you for your compliment and I'm sorry for my late response.

    • @TomTRobot
      @TomTRobot 2 ปีที่แล้ว

      It looks like you can also get the .qsf file from this Intel site: www.intel.com/content/www/us/en/developer/articles/technical/fpga-academic-boards.html
      ftp.intel.com/Public/Pub/fpgaup/pub/Intel_Material/Boards/DE10-Lite/DE10_Lite_User_Manual.pdf
      Links to the user manual, data sheets, and design constraints file are also there.
      Nice video. Very straightforward. The point about remembering to set the top-level entity was very useful.

  • @mohammadtorkamandehnavi4340
    @mohammadtorkamandehnavi4340 ปีที่แล้ว +1

    Hello
    Thank you so much

  • @mtopangaprimo2556
    @mtopangaprimo2556 2 หลายเดือนก่อน

    I am sorry to stress you.I followed the execise website you have given above.I can not find videos to the lane detection.I would very much like to learn that part well.

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 หลายเดือนก่อน

      I'm sorry, i don't understand. Could you mention the time in the video were I talked about the "lane detection" so I can remember which exercise that was?

    • @mtopangaprimo2556
      @mtopangaprimo2556 2 หลายเดือนก่อน +1

      It was not mentioned in your video actually.
      I just followed the intel link you provided . I then happened to come across that lane detection exercise. So I thught the intel link was your own website page .Am sorry for that confusion. Now I am wishing it was your exercise because you could have saved my Semester😊. But all in all. I will watch all your tutorials and better myself .Thanks once again

    • @OlawaleAkinwale
      @OlawaleAkinwale  2 หลายเดือนก่อน

      @@mtopangaprimo2556 😀 No worries. I wish you the best.

  • @tasnimragab3706
    @tasnimragab3706 ปีที่แล้ว

    How do I install MAX 10 family and add it into quartus ? for my program, I have only cyclone IV library.
    Thank you.

    • @OlawaleAkinwale
      @OlawaleAkinwale  ปีที่แล้ว +1

      Hello Tasnim. On Intel's FPGA website, where you downloaded the CYCLONE IV, MAX10 should be available. I should mention though that the version of Quartus you are using matters. If MAX 10 is not available for your version of Quartus then you may need to download an install a compatible version.

    • @OlawaleAkinwale
      @OlawaleAkinwale  ปีที่แล้ว +1

      You can visit www.intel.com/content/www/us/en/software-kit/736572/intel-quartus-prime-lite-edition-design-software-version-21-1-1-for-windows.html Under individual files you will find MAX10 and CYCLONE IV. Hence if you use version 21.1.1 of Quartus Prime you should be able to install both device families.

    • @OlawaleAkinwale
      @OlawaleAkinwale  ปีที่แล้ว +1

      If you download the new version of Quartus Prime then have the CYCLONE IV and MAX10 in the same folder as the installer when you run it and it will automatically find them and ask if you want to install them.
      Otherwise, if you are just downloading the MAX10 package for your present version of Quartus, then after downloading the package, launch Quartus and I believe it is under tools --> Devices that you can install the new family. I'll check that and get back to you.

  • @satyajyothikaperni2589
    @satyajyothikaperni2589 ปีที่แล้ว +1

    Sir pls send some information to do programming in quartus prime using verilog

    • @OlawaleAkinwale
      @OlawaleAkinwale  ปีที่แล้ว

      Hello @satyajyothikaperni2589 I am much more conversant with VHDL than Verilog. However, I will try to make a Verilog version of this video by Monday. In the mean time, the difference between them is that you will select Verilog HDL instead of VHDL when creating a new file and then the content of the file will be different (different syntax and semantics). Beyond that, everything is just about the same.

    • @satyajyothikaperni2589
      @satyajyothikaperni2589 ปีที่แล้ว

      @@OlawaleAkinwale Thank you sir

  • @60jaydeokapale30
    @60jaydeokapale30 ปีที่แล้ว

    I need your help . How do i contact you?