Лабораторія Цифрового Дизайну
Лабораторія Цифрового Дизайну
  • 110
  • 41 763
ДМ16 - Електрична схема по логічному рівнянню
Реалізовуємо логічне рівняння на інтегральних схемах ТТЛ (74хх) серій. Малюємо електричну схему по рівнянню в три ітерації:
1. Схема по логічному рівнянню
2. Знаходимо відповідні мікросхеми та робимо схему на реальних мікросхемах.
3. Оптимізуємо схему для зменшення кількості корпусів мікросхем.
#discrete_mathematics #tutorial #logic #Карно #МКНФ #МДНФ #булеваалгебра
Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html
มุมมอง: 124

วีดีโอ

ДМ15 - Карта Карно. Неповністю визначені функції
มุมมอง 1292 หลายเดือนก่อน
Не повністю визнечен логічн функції - що це таке, як їх розуміти, як мінімізувати таку фукнцію. #discrete_mathematics #tutorial #logic #Карно #МКНФ #МДНФ #булеваалгебра Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html
ДМ14 - Карта Карно. Мінімізація контурів
มุมมอง 1292 หลายเดือนก่อน
Правила побудови контурів на карт Карно. Контури на 2, 4 та 8 елементів. Приклад мінімізації функції по карт Карно. #discrete_mathematics #tutorial #logic #Карно #МКНФ #МДНФ #булеваалгебра Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html
ДМ13 - Карти Карно
มุมมอง 1202 หลายเดือนก่อน
Правила побудови карти Карно. Карти Карно для 3 та 4 змінних. Різн форми запису карт Карно. #discrete_mathematics #tutorial #logic #Карно #МКНФ #МДНФ #булеваалгебра Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html
ДМ12 - Досконала кон'юнктивна нормальна форма (ДКНФ)
มุมมอง 1533 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html Побудова ДКНФ по таблиц інстиності
ДМ11 - Досконала диз'юнктивна нормальна форма (ДДНФ)
มุมมอง 2274 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html Отримання досконалої диз'юнктивної нормальної форми, ДДНФ (рос. СДНФ - совершенная дизъюнктивная нормальная форма) з таблиц істиності.
Verilog System Task: finish, stop, time, monitor, display
มุมมอง 744 หลายเดือนก่อน
Системн задач (System Task) у Verilog. Задач керування симуляцією - $finish, $stop Задач виводу на екран - $monitor, $display Системний час при симуляції - $time
ДМ10 - Таблиця істиності з логічного рівняння
มุมมอง 804 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html
ДМ09 - Теореми двох змінних. Закони де Моргана
มุมมอง 1594 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html
ДМ08 - Теореми однієї змінної
มุมมอง 2934 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html
ДМ07 - Логічні функції двох змінних. Повтори змінної, Імплікації. Мінімальний базис логічних функцій
มุมมอง 1375 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html Завершення лекції про функції двох змінних - останн 8 функцій: - повторення змінних X1, X2 та їх інверсія; - імплікація X1, X2 та їх інверсія. - Мінімально можливий базис логічних функцій. 00:00 - Підведення підсумків попереднії відео 00:35 - Функції повторенн...
ДМ06 - Логічні функції двох змінних АБО, АБО-НІ, Виключне АБО
มุมมอง 1425 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html Логічн функції двої змінних. Частина 2. В цьому відео розбираємо так функції - логічна функція АБО (OR, дизюнкція), логічна функція АБО-НІ (NOR), Виключне АБО (XOR), Виключне АБО-НІ (NXOR). Таблиця істиності, позначення логічного елемента, відображення на діаг...
ДМ05 - Логічні функції двох змінних 0, 1, І, І-НІ
มุมมอง 1285 หลายเดือนก่อน
#discrete_mathematics #tutorial #logic Повний плейлист по дискретній математиці: th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html Логічн функції двої змінних. Частина 1. В цьому відео розбираємо перш функції - константа 0 та 1, логічна функція І (AND, кон'юнкція), логічна функція І-НІ (NAND). Таблиця істиності, позначення логічного елемента, відображення на діаграмах Ейлера, часов діагра...
3-6-2-2 Синхронні лічильники з синхронним переносом
มุมมอง 1405 หลายเดือนก่อน
#digitalelectronics #tutorial Повний плейлист по цифровій схемотехніці: th-cam.com/play/PLjnvtcI2Aqo6WJqbFDjXR32Knh0_gCIuN.html 00:00 - Схемотехніка синхронного лічильника з паралельним переносом 00:55 - Мінімальний період тактового сигналу 01:15 - Часов діаграми з урахуванням затримок 02:50 - Приклади синхронних лічильників з паральним переносом 03:26 - MC74HC60 - Presettable counters
3-6-2-1 Синхронні лічильники з асинхронним переносом
มุมมอง 1136 หลายเดือนก่อน
#digitalelectronics #tutorial Повний плейлист по цифровій схемотехніці: th-cam.com/play/PLjnvtcI2Aqo6WJqbFDjXR32Knh0_gCIuN.html Розглянуто перший тип синхронних лічильників - синхронн лічильники з асинхронним переносом. Показано, що так лічильники мають схему формування переносу, яка дозволяє одночасно перемикати вс тригери в мікросхемі. Для цього формується схема дозволу перемикання Т-тригера....
3-6-1 Лічильник з асинхронним переносом
มุมมอง 2236 หลายเดือนก่อน
3-6-1 Лічильник з асинхронним переносом
ДМ04 Логічна функція НІ
มุมมอง 806 หลายเดือนก่อน
ДМ04 Логічна функція НІ
Лабораторна робота 4. Тест D тригера на Verilog з рандомізацією
มุมมอง 786 หลายเดือนก่อน
Лабораторна робота 4. Тест D тригера на Verilog з рандомізацією
Лабораторна робота 4. Простий тест JK тригера
มุมมอง 876 หลายเดือนก่อน
Лабораторна робота 4. Простий тест JK тригера
ДМ03 Найпростіші логічні функції - 0, 1, повторення
มุมมอง 1147 หลายเดือนก่อน
ДМ03 Найпростіш логічн функції - 0, 1, повторення
ДМ02 Поняття логічної функції
มุมมอง 1287 หลายเดือนก่อน
ДМ02 Поняття логічної функції
ДМ01 Цифровий сигнал Цифрова абстракція
มุมมอง 2977 หลายเดือนก่อน
ДМ01 Цифровий сигнал Цифрова абстракція
Лабораторна робота 4. Реалізація тригерів на Verilog. Частина 3. D-тригер
มุมมอง 1507 หลายเดือนก่อน
Лабораторна робота 4. Реалізація тригерів на Verilog. Частина 3. D-тригер
Лабораторна робота 4. Реалізація тригерів на Verilog. Частина 2
มุมมอง 937 หลายเดือนก่อน
Лабораторна робота 4. Реалізація тригерів на Verilog. Частина 2
Лабораторна робота 4. Реалізація тригерів на Verilog. Частина 1
มุมมอง 1497 หลายเดือนก่อน
Лабораторна робота 4. Реалізація тригерів на Verilog. Частина 1
20-2-6 Тестування SDRAM за допомогою Signal Tap II
มุมมอง 769 หลายเดือนก่อน
20-2-6 Тестування SDRAM за допомогою Signal Tap II
20-2-5 Signal Tap II. Налаштування
มุมมอง 8410 หลายเดือนก่อน
20-2-5 Signal Tap II. Налаштування
20-2-4 Signal Tap II. Вступ
มุมมอง 8510 หลายเดือนก่อน
20-2-4 Signal Tap II. Вступ
20-2-3 Засоби внутрішньосистемного відлагодження. Редактор вмісту вбудованої пам'яті.
มุมมอง 5010 หลายเดือนก่อน
20-2-3 Засоби внутрішньосистемного відлагодження. Редактор вмісту вбудованої пам'яті.
Структура папок та скипти для запуску синтезу і симуляції проекту
มุมมอง 6110 หลายเดือนก่อน
Структура папок та скипти для запуску синтезу симуляції проекту

ความคิดเห็น

  • @AleksandrDokanev
    @AleksandrDokanev 4 วันที่ผ่านมา

    Спасибо за данный видеоролик!

  • @eclecticprog
    @eclecticprog 5 วันที่ผ่านมา

    Добрий день. Підкажіть будьласка, з чого починати вивчення ПЛІС, якщо я ніколи з ними не працював і взагалі нічого не знаю? Я закачав багато книжок, але всі вони для підготовлених людей, і для мене вони незрозумілі. Може порадите яку книжку, або може десь можна дістати навчальний курс для студентів, щоб з самих азів починати? Не можу знайти літературу для самих початківців.

    • @ddlab-ua
      @ddlab-ua 3 วันที่ผ่านมา

      Доброго дня. Два варіанти: 1. Якщо у вас є основа у вигляді цифрової/компютерної схемотехніки, то раджу брати будь-яке видання Харісів (Харріс, Харріс Цифрова схемотехніка та архітектура компютера) і починати читати з того, що не знаєте + робіть наші лаби по мовам опису апаратури і схемотехніці - вони є на каналі в цьому плейлисті - th-cam.com/video/sd2uNJEIAOI/w-d-xo.html. Також раджу канал Лабраторії Лампа - там теж багато чого. З ПЛІС - раджу Альтеру -вона простіше, ніж Xilinx. З плат - краще все ж таки щось від Терасіка - наприклад DE10-Lite, або така, як в цьому відео. Її можна взяти на Алі - я так і робив. 2. Якщо такої бази нема - теж беріть Харісів і починайте спочатку. Плата ПЛІС в такому випадку вам поки не потрібна. Раджу подивитись на цьому каналі відео по дискретній математиці - я спробував без зайвої води довести до створення схеми на дискретних компонентах - там залишилась не зробленими лише пара відео. Ось плейлист - th-cam.com/video/P2KAXF6HOM8/w-d-xo.html З мов опису апаратури раджу зразу брати SystemVerilog - він простіший.

    • @eclecticprog
      @eclecticprog 3 วันที่ผ่านมา

      @@ddlab-ua Дякую за розгорнуту відповідь! Книжка Харісів в мене є, але поки руки не дійшли, зараз читаю Хоровіц Хілл. Підписався на ваш канал і на Лампу, буду вчитися. В аналоговій електроніці я нормально розбираюся, а цифрова як темний ліс, і вона складніша. Дякую що робите навчальний контент.

    • @eclecticprog
      @eclecticprog 2 วันที่ผ่านมา

      @@ddlab-ua Дякую за розгорнуту відповідь! Підписався на ваш канал і на Лампу, буду вчитися. В аналоговій електроніці я нормально розбираюся, а цифрова як темний ліс, і вона складніша. Дякую що робите навчальний контент.

  • @chelik_nasral
    @chelik_nasral 8 วันที่ผ่านมา

    Я хз кто ты, но я нихрена не понял

    • @ddlab-ua
      @ddlab-ua 7 วันที่ผ่านมา

      Хто я - кажу у відео та в описі каналу, а як не зрозуміло - запрошую дивитись спочатку - th-cam.com/play/PLjnvtcI2Aqo4UsawPuw8-zekCIPiemG1f.html

  • @soxa2785
    @soxa2785 12 วันที่ผ่านมา

    Чаму не дзяржауная?

    • @ddlab-ua
      @ddlab-ua 7 วันที่ผ่านมา

      Бо нема сенсу переробляти, але ви робіть відео білоруською - ми завжди вас підтримаємо.

  • @MrDenhard
    @MrDenhard 3 หลายเดือนก่อน

    У вас взагалі то навпаки, виходить таке так би мовити анті ХOR ( key1 true ;key 2 true; a LED горить)

    • @ddlab-ua
      @ddlab-ua 3 หลายเดือนก่อน

      Це проблема цієї плати - у неї ненатиснута кнопка - 1, натиснута - 0. 1 на виході - світлодіод не горить, 0 на виході - горить. Тому для перевірки логічних функцій і нормальної роботи треба інвертувати сигнали

    • @ВитяДубов
      @ВитяДубов 2 หลายเดือนก่อน

      @@ddlab-ua У меня такая же проблема: при элементе И он работает как ИЛИ. Можно как-то исправить или остаётся только жить с этим?

    • @ddlab-ua
      @ddlab-ua 2 หลายเดือนก่อน

      @@ВитяДубов Можна. Треба змінити схему, оскільки саме в цій платі така ситуація: ненатиснута кнопка - 1, натиснута - 0. На виході 1 - світлодіод не горить, на виході 0 - горить. Для цього треба поставити по входах інвертори та інвертор на виході. І тоді все буде працювати правильно.

    • @ВитяДубов
      @ВитяДубов 2 หลายเดือนก่อน

      @@ddlab-ua Благодарен!

    • @ddlab-ua
      @ddlab-ua 2 หลายเดือนก่อน

      @@ВитяДубов Заходьте ще.

  • @maxstart6929
    @maxstart6929 4 หลายเดือนก่อน

    Дякую вам за ваші відеоуроки

    • @ddlab-ua
      @ddlab-ua 4 หลายเดือนก่อน

      Дякую!

  • @moonofmynight_1
    @moonofmynight_1 4 หลายเดือนก่อน

    топ

    • @ddlab-ua
      @ddlab-ua 4 หลายเดือนก่อน

      Дякую! Далі буде.

  • @acdcmeter
    @acdcmeter 6 หลายเดือนก่อน

    Гарно, успіхів у розвитку каналу.

    • @ddlab-ua
      @ddlab-ua 6 หลายเดือนก่อน

      Дякую.

  • @sergbo3114
    @sergbo3114 6 หลายเดือนก่อน

    2:25 Посилання немає.

  • @sergbo3114
    @sergbo3114 6 หลายเดือนก่อน

    Ци планується на вашому каналі матеріал по цифровій обробці сигналів. FIR IIR фільтри?

    • @ddlab-ua
      @ddlab-ua 6 หลายเดือนก่อน

      Планується. Будемо робити з використанням MATLAB і написанням на Verilog.

  • @sergbo3114
    @sergbo3114 6 หลายเดือนก่อน

    Є питання. Чи можна на платі RZRD у послідовну флешку записати конфігурацію і програму для NIOS II. Результатом повинно бути повністю робоча схема з ємуляцією процессора та самою програмою без додатукового завантаження напряму через JTAG. Як я розумію териоритично просто потрібно розташувати конфігурацію та ELF у різних регіонах флешкі. Але я поки не знаю як це зробити. При цьому принципиальні питання є: Як вказати звідки завантажувати конфігурацію? Зміщення наче я бачив можна вказати при конвертації з sof в pof для завантаження через ActiveSerial. І друге питання як до цього додати ELF та наказати завантажувати з нього? По цьому питанню бачив IP для роботи з Serial flash. Якщо у когось є що сказати по цій темі?

    • @ddlab-ua
      @ddlab-ua 5 หลายเดือนก่อน

      Тут поки можу порадити дивитись фірмові матеріали від Intel/Altera. А на каналі такого відео нема - треба буде зробити. Дякую.

    • @sergbo3114
      @sergbo3114 5 หลายเดือนก่อน

      @@ddlab-ua Дякую. Вже більш-меньш розібрався. Там треба конвертувати файли з консолі. У моїй конфігурації EPCS флешка має обмеження і повинна містити конфігурацію тільки з 0х0 адреси. Треба elf конвертувати і записати після байтів конфигураціі FPGA. А ще треба додавати IP Spi flash memory, що би система бачила флешку як регіон пам'яті. А якщо буде відео це буде супер.

  • @sergbo3114
    @sergbo3114 6 หลายเดือนก่อน

    Корисний матеріал. І дуже рідкістний.

    • @ddlab-ua
      @ddlab-ua 5 หลายเดือนก่อน

      Дякую

  • @sergbo3114
    @sergbo3114 6 หลายเดือนก่อน

    Щось не бачу наступної частини про NIOS

  • @sergbo3114
    @sergbo3114 6 หลายเดือนก่อน

    Done

  • @sergbo3114
    @sergbo3114 6 หลายเดือนก่อน

    Ух ти. Прикольний матеріал. Займаюся вивченням FPGA Cyclone IV. Є китайська плата RZRD там як раз є зовнішня пам'ять. Хочу використати SDRAM але поки щось не йде. Пробую використовувати інтелівський IP контроллера. Повивчаю поки матеріал з вашого каналу.

    • @ddlab-ua
      @ddlab-ua 5 หลายเดือนก่อน

      Якщо хочете працювати з SDRAM, то раджу взяти документацію на мікросхему і вивчити її докладно. Також подивіться ось це відео: 4-5 Динамічна пам'ять - th-cam.com/video/v8xWkDA2mA8/w-d-xo.html Там у другій половині розбираємо роботу з динамічною SDRAM памяттю.

    • @sergbo3114
      @sergbo3114 5 หลายเดือนก่อน

      @@ddlab-ua Так даташит є, але там інформації доволі небагато. Більш довідникові данні і таблиця команд. Але я систему всеж таки запустив з інтелівським IP. Розігнав до 120мГц. Більше десь таймінги не дозволяють. Тема оптимізації часових параметрів також дуже чекаю на вашому каналі. А з пам'ятью на черзі реалізація власного контролера.

    • @ddlab-ua
      @ddlab-ua 5 หลายเดือนก่อน

      @@sergbo3114 Я брав даташит з плати DE10-Lite. Там інша мікросхема, але принципи роботи у них однакові. І реалізовувати я починав з тієї плати. Потім писав тести та запускав їх на EDA Playground - проект там є. А вже потім робив апаратне тестування за допомогою SignlTap так, щоб були однакові діаграми з EDA Playground та у залізі. Бо з осцилографом тоді не дуже вийшло і його тупо не вистачало.

    • @sergbo3114
      @sergbo3114 5 หลายเดือนก่อน

      @@ddlab-ua теж саме. Прибор показував якусь дичину. Але все працювало. Хоча осцилограф до 200мгц. Але там не все так просто. Тож я прийшов до висновку що осцилограф тут не допоможе. А такі що допоможуть десятки тисяч баксів можуть коштувати. Дякую за відповіді

  • @victorvoitsekhovskiy9450
    @victorvoitsekhovskiy9450 7 หลายเดือนก่อน

    Дякую за україномовний контент. Тільки не зупиняйтеся будь ласка.

    • @ddlab-ua
      @ddlab-ua 7 หลายเดือนก่อน

      Дякую вам. Підписуйтесь - буде багато нового і цікавого.

  • @maxstart6929
    @maxstart6929 7 หลายเดือนก่อน

    Дякую вам за відео

    • @ddlab-ua
      @ddlab-ua 7 หลายเดือนก่อน

      Дякую.

  • @ЛюдмилаПодлєсних
    @ЛюдмилаПодлєсних 7 หลายเดือนก่อน

    Добрий день! Пробачте, але зовсім незрозуміло, чому комірки а0, ... знаходяться в у саме на цих місцях ?

    • @ddlab-ua
      @ddlab-ua 7 หลายเดือนก่อน

      Бо я так написав. То моя власна фантазія. Стояла задача - зробити завдання, в якому у студента буде майже завжди унікальне завдання, яке не схоже на його одногрупників. Для цього найпростіше взяти день та місяць народження. А потім намалювали купу нулів та одиниць, щоб функція не була вже дуже простою.

    • @ddlab-ua
      @ddlab-ua 7 หลายเดือนก่อน

      А загалом - вже завтра буде нове відео, в якому починаємо курс дискретної математики. Тобто з самого початку. І за пару тижнів буде відео про те, як зробити таблицю істиності по рівнянню або як по таблиці істинності написати довершену форму логічної функції. Підписуйтесь - багато відео стоїть в черзі на обробку.

  • @ВікторШевченко-и6э
    @ВікторШевченко-и6э 7 หลายเดือนก่อน

    Анатоліч, дякую за лекції! І ті, в універі також))

    • @ddlab-ua
      @ddlab-ua 7 หลายเดือนก่อน

      Дякую вам.

  • @boson_higgs
    @boson_higgs 10 หลายเดือนก่อน

    Добрый вечер ! Порекомендуйте пожалуйста, эту плату можно брать (нашел такую на Озон)? Полное название платы с озон ПЛИС Altera CycloneIV EP4CE6E22C8N, или какую лучше выбрать для таких проектов? Там есть еще такая , она дешевле правда EP4CE6e Hurricane Altera (Интеллектуальная плата разработки FPGA Niosii Дистанционное управление несколькими модулями). Спасибо.

    • @ddlab-ua
      @ddlab-ua 10 หลายเดือนก่อน

      Если именно такая, как на видео - то єто китайская плата с алиєкспресс. На 6 или 10 тіс. логических єлементов. Вполне для новичков подходит. Производителей такой платі много. Берите любую.

    • @boson_higgs
      @boson_higgs 10 หลายเดือนก่อน

      @@ddlab-ua спасибо ! Значит буду с АлиЭкспресс заказывать .

  • @TheKosFilm
    @TheKosFilm 11 หลายเดือนก่อน

    👍👍👍

  • @biawolf7086
    @biawolf7086 11 หลายเดือนก่อน

    Здравствуйте, подскажите, пожалуйста, где взять назначения пинов?

    • @ddlab-ua
      @ddlab-ua 11 หลายเดือนก่อน

      Доброго дня, це залежить від конкретної плати, з якою ви працюєте. Беріть документацію - там повинно бути все написано. Якщо для OMDAZZ - то ось тут є документація від китайців. drive.google.com/drive/folders/1xNECuJpmpkfVPzcRFOeKwdMgdZNNhZW3?usp=sharing

  • @pavlokrupych6530
    @pavlokrupych6530 ปีที่แล้ว

    дуже цікаво)))

  • @miroslavbelov7903
    @miroslavbelov7903 ปีที่แล้ว

    На 30:52 трошки обмовились, коли графік заповнювали. 0 & 1 = 0

    • @ddlab-ua
      @ddlab-ua ปีที่แล้ว

      Дякую, ви праві. Я коли передивляюсь бачу, що помилки є, бо лекції зазвичай на 8 ранку і інколи всім дуже важко. Треба робити нормальні відео, але часу нема - тому лише записи лекцій та консультацій.

    • @Людмилатв-н6ы
      @Людмилатв-н6ы ปีที่แล้ว

      Скажіть будь ласка, як можна з Вами зв'язатися?

    • @dimorfin
      @dimorfin 10 หลายเดือนก่อน

      в любому випадку непогане відео , щоб швидко щось повторити дякую!@@ddlab-ua

  • @AlexeyVLvov
    @AlexeyVLvov ปีที่แล้ว

    Подскажите, где можно найти архив с игрой?

    • @ddlab-ua
      @ddlab-ua ปีที่แล้ว

      Тут: github.com/DigitalDesignSchool/ce2020labs/tree/master/day_2/omdazz

  • @AlexeiCheban
    @AlexeiCheban ปีที่แล้ว

    Ми в універі ще робили для 5тьох змінних - але таблиць було дві. Всеж рекомендую 5тьох змінних показати для досвіду

    • @ddlab-ua
      @ddlab-ua ปีที่แล้ว

      Зробимо. Для досвіду дуже корисно. Але це краще вживу. По телевізору буде так собі

  • @AlexeiCheban
    @AlexeiCheban ปีที่แล้ว

    Вчився в Одеському політеху с 14 по 18, також проходили всі ці теми. Дякую за ностальгію

    • @ddlab-ua
      @ddlab-ua ปีที่แล้ว

      Завжді раді. Вітання Одесі від Чернігова.

  • @vitaliini8620
    @vitaliini8620 ปีที่แล้ว

    С.А. - молодець!

    • @ddlab-ua
      @ddlab-ua ปีที่แล้ว

      Дякую!

  • @denisanisimov7036
    @denisanisimov7036 2 ปีที่แล้ว

    А есть такие видео на русском?

    • @ddlab-ua
      @ddlab-ua 2 ปีที่แล้ว

      Будут, но не сразу.

  • @MrRONE777
    @MrRONE777 2 ปีที่แล้ว

    Кайфовые ролики. Еще будут? С примерами как собрать тот или иной модуль, как его тестировать и прочее-прочее

    • @ddlab-ua
      @ddlab-ua 2 ปีที่แล้ว

      Будут. А что вам интересно?

    • @MrRONE777
      @MrRONE777 2 ปีที่แล้ว

      @@ddlab-ua например описание модуля, который мог бы через ethernet передавать, прнимать пакеты. Или описания встроенных в вивадо ядер. Уроки от просто к сложному. От clk_wiz до чего-то трудного

    • @MrRONE777
      @MrRONE777 2 ปีที่แล้ว

      @@ddlab-ua также было бы интересно где можно брать уже готовые модули, и как их адоптировать в свои проекты. Например в ролике вы бы говорили: сейчас я покажу, где можно скачивать готовые модули, чтобы не писать то, что уже есть самому. Скачаем модуль уарт, а далее используем его в нашем проекте

    • @ddlab-ua
      @ddlab-ua 2 ปีที่แล้ว

      @@MrRONE777 Ок, сделаем. И в ближайшее время

    • @MrRONE777
      @MrRONE777 2 ปีที่แล้ว

      @@ddlab-ua было бы очень круто, если бы вы сделали курс: как войти в программирование плисов. На русской площадке такого вообще нет, так что можете быть первыми

  • @antvad1313
    @antvad1313 2 ปีที่แล้ว

    Добрый день! А если твоей платы нет в списке доступных, то как настроить квартус, или подсунуть какой-нибудь файл для платы? Возможно некорректно выразился, просто я новичек и только пытаюсь подобрать свою первую плату/набор. Все что есть в продаже - отсутствуют в списке доступных в моем Квартусе.

    • @ddlab-ua
      @ddlab-ua 2 ปีที่แล้ว

      Проект делается под конкретную ПЛИС - именно ее смотрите в списке доступных, а вот уже плата определяет подключение к конкретным выводам ПЛИС и периферии. Если есть еще вопросы - приходите в телеграмм t.me/DigitalDesignSchool - там будем общаться.

  • @14types
    @14types 2 ปีที่แล้ว

    А что дальше? Ну вот создали проект, а что с ним дальше делать? Как из него создать отдельное устройство? Или как это всё работает?

    • @ddlab-ua
      @ddlab-ua 2 ปีที่แล้ว

      Сделали проект и запрограммировали его в плату. Вот вам работающее устройство. А если хотите, чтобы работало без компьютера - необходимо залить прошивку во внешнюю флеш - она стоит на отладочной плате.

  • @yuribochkarev4477
    @yuribochkarev4477 3 ปีที่แล้ว

    Какой ужас, сколько сложных телодвижений и настроек ради тестирования элементарного кода. Представляю как трудно отладить через симуляцию работу какого то тяжелого проекта, с использованием nios ii например. Снимите об этом видео, будет интересно посмотреть

    • @ddlab-ua
      @ddlab-ua 3 ปีที่แล้ว

      Ну, теловижений в реальности не много. Для тестирования любого проекта код тестбенча нужно писать. Потом его подключили к модулю и запустили ModelSim. А запуск ModelSim будет почти такой-же. По поводу NIOS - спасибо, снимем обязательно.

    • @yuribochkarev4477
      @yuribochkarev4477 3 ปีที่แล้ว

      @@ddlab-ua я как раз сейчас пытаюсь запустить в симуляции один проект на nios ii написаный в quartus 13й версии, пытаюсь rtl стмуляцию запустить из самого квартуса, и modelsim открывается, и проект в нем компилируется и пока безуспешно)) разобраться в этом моделсиме крайне трудно

    • @ddlab-ua
      @ddlab-ua 3 ปีที่แล้ว

      @@yuribochkarev4477 Сложно и непривычно, тут я согласен. Не с первого раза получилось.

    • @yuribochkarev4477
      @yuribochkarev4477 3 ปีที่แล้ว

      @@ddlab-ua я в итоге симуляцию запустил, с 50мгц тактового сигнала nios до 200-500мс симуляции реально еще как то можно глянуть, но ни о каких секундах и речи нет, не хватает ресурсов компьютера. Пришлось скомпилировать тестбенч при сборке nios и потом глубоко в проекте найти папку mentor с tcl файлом, через коммандную строку его запустить. Где то раза после третьего и правок tcl-файла удалось это сделать. Такое оно все убогое и на костылях. По сравнению с каким то pic или avr микроконтроллером, или даже arm7 процессором в proteus, где все отлично симулируется вплоть до real-time вообще небо и земля

    • @yuribochkarev4477
      @yuribochkarev4477 3 ปีที่แล้ว

      @@ddlab-ua мне просто интересно, а как большие проекты в modelsim отлаживают? Цос фильтры или какие то high-speed транссиверы. Мне так кажется это только в железе можно отладить, снимите об этом тоже ролик, будет интересно глянуть

  • @AlexMermida
    @AlexMermida 3 ปีที่แล้ว

    А я последнюю версию уже установил. Нужно переустанавливать на 17-ю или оставить то что есть?

    • @ddlab-ua
      @ddlab-ua 3 ปีที่แล้ว

      Без разницы. Интерфейс очень похож и на наших проектах изменений вы не увидите. Главное - поддержка пакетом нужного семейства микросхем. Мы используем Cyclone IV и МАХ10.

  • @Злостный_Двачер
    @Злостный_Двачер 3 ปีที่แล้ว

    У вас жуткий рассинхрон звука с видеорядом, вы хоть проверяйте прежде чем заливать.

    • @ddlab-ua
      @ddlab-ua 3 ปีที่แล้ว

      А где именно тут "жуткий рассинхрон"?

    • @Злостный_Двачер
      @Злостный_Двачер 3 ปีที่แล้ว

      @@ddlab-ua Например на 35:00, диктор уже успел сказать о ТаймКвесте и говорит о программаторе, а на экране всё ещё ЧипПланер.

    • @ddlab-ua
      @ddlab-ua 3 ปีที่แล้ว

      @@Злостный_Двачер Большое спасибо, мы будем более внимательны к видео.

  • @bdfy8349
    @bdfy8349 3 ปีที่แล้ว

    Спасибо большое за видео! Помогло разобраться.

  • @rafaelilyasov9176
    @rafaelilyasov9176 4 ปีที่แล้ว

    Здравствуйте! Вопросы: 1. Моделируется ли PLL с IP-каталога ? (у меня не получается в Simulation Wafeform Editor). 2. Возможно ли установить параметр в Set End Time... > 100us ?

    • @ddlab-ua
      @ddlab-ua 4 ปีที่แล้ว

      Добрый день. Вопрос 1. - Я бы сразу советовал уходить в ModelSim. Еще раз повторю - этот вариант не самый лучший для моделирования. Вопрос 2. - Нет, больше нельзя. Поэтому еще раз - в ModelSim/

  • @ВладиславМирошниченко-ы7э

    Здравствуйте. Подскажите пожалуйста, где можно писать прошивку для стенда "Nexys A7-100T"?

    • @ddlab-ua
      @ddlab-ua 4 ปีที่แล้ว

      Добрый день. Nexys A7 - это отладочный стенд с ПЛИС фирмы Xilinx. Вы можете использовать пакет Vivado для программирования этой платы.

    • @ВладиславМирошниченко-ы7э
      @ВладиславМирошниченко-ы7э 4 ปีที่แล้ว

      @@ddlab-ua спасибо Вам

    • @ВладиславМирошниченко-ы7э
      @ВладиславМирошниченко-ы7э 4 ปีที่แล้ว

      @@ddlab-ua а varilog поддерживается в vivado?

    • @ddlab-ua
      @ddlab-ua 4 ปีที่แล้ว

      @@ВладиславМирошниченко-ы7э Да, конечно.

    • @ВладиславМирошниченко-ы7э
      @ВладиславМирошниченко-ы7э 4 ปีที่แล้ว

      @@ddlab-ua я вот установил vivado 2020.1, но там нет моего типа процессора Artix-7 Part XC7A100T-1CSG324C. Есть подобные, но именно такого нет.

  • @NikolayShelakhaev
    @NikolayShelakhaev 4 ปีที่แล้ว

    При установке драйверов под WIndows мне пригодилась вот эта инструкция: www.terasic.com.tw/wiki/Altera_USB_Blaster_Driver_Installation_Instructions. А особенно пункт "Find <Path to Quartus II installation>\quartus\drivers\, then select Next; (Note: Stop at this folder, i.e., do NOT go deeper by opening a folder within the drivers folder)"

  • @blackstorm7481
    @blackstorm7481 4 ปีที่แล้ว

    Ссылка на архив не работает

    • @ddlab-ua
      @ddlab-ua 4 ปีที่แล้ว

      Работает. Загрузка архива проходит - пробуйте еще раз.

    • @blackstorm7481
      @blackstorm7481 4 ปีที่แล้ว

      @@ddlab-ua Да, оказалось просто надо скопировать было её)

  • @Nickolay64
    @Nickolay64 4 ปีที่แล้ว

    Спасибо за видео

    • @ddlab-ua
      @ddlab-ua 4 ปีที่แล้ว

      Спасибо!

  • @lmx2315
    @lmx2315 4 ปีที่แล้ว

    Шикарное видео.

    • @ddlab-ua
      @ddlab-ua 4 ปีที่แล้ว

      Спасибо

  • @FPGASystems
    @FPGASystems 4 ปีที่แล้ว

    Что за неучи поставили дизлайки? Вполне хорошее видео, тиховато, но нет шумов, все понятно. Спасибо.

    • @ddlab-ua
      @ddlab-ua 4 ปีที่แล้ว

      Спасибо за поддержку. Со звуком разбираемся.

    • @AndreyKalgin78
      @AndreyKalgin78 2 ปีที่แล้ว

      Дизлайки ставят скорее всего те, кто купил Макетную плату RZ-easyfpga A2.2 Altera Cyclone IV FPGA на EP4CE6E22C8N. Здесь-же пример для EP4CE10E22C8N, поэтому дабы разобраться и запустить ПЛИС приходится долго танцевать с бубнами. Не мешало короче-бы описать основные костыли и грабли в процессе подключения и загрузки. Я например с электроникой всю жизнь, но это моя первая FPGA и таки я 6 часов проипался пока получил результат.

  • @АртёмЯгирский
    @АртёмЯгирский 4 ปีที่แล้ว

    Спасибо за лаконичное видео!