Stanislaw Flaga
Stanislaw Flaga
  • 94
  • 177 251
Stacjonarny kurs programowania PLC i HMI #plc #hmi #kurs #programowanie #s7-1200
Zapisy na kurs: home.agh.edu.pl/~flaga_st/kurs-plc-hmi-s7-1200/
Ciągłą rekrutacja na szkolenia w czerwcu i wrześniu 2024 na AGH w Krakowie, Wydział Inżynierii Mechanicznej i Robotyki, Katedra Automatyzacji Procesów przeprowadzony zostanie stacjonarny kurs programowania PLC i HMI. Stanowiska wyposażone są w S7-1200 z CPU 1214C DC/DC/DC, 1215C DC/DC/DC oraz 1212C DC/DC/DC i HMI KTP-700 w wersji basic.
มุมมอง: 200

วีดีโอ

Hannover Messe 2023 - wspominki
มุมมอง 2315 หลายเดือนก่อน
Hannover Messe 2023
Ciekawe projekty studenckie: Tim Kusznir
มุมมอง 4466 หลายเดือนก่อน
Ciekawe projekty studenckie: Tim Kusznir
Autor: Dawid Bieniek
มุมมอง 3646 หลายเดือนก่อน
Ciekawe projekty studenckie: Dawid Bieniek
Projekt studenta: Dawid Pabiś
มุมมอง 7687 หลายเดือนก่อน
Projekt studenta: Dawid Pabiś
Jak do programu w drabince #LD, #LAD wstawić fragment kodu w tekście strukturalnym #ST, #SCL)
มุมมอง 2717 หลายเดือนก่อน
Jak do programu w drabince #LD, #LAD wstawić fragment kodu w tekście strukturalnym #ST, #SCL na przykładzie rotowania bitów w lewo #ROL
Ładowanie konfiguracji sprzętowej po zmianie nazwy i adresu IP w sterowniku S7-1200
มุมมอง 1397 หลายเดือนก่อน
Szkolenie stacjonarne: home.agh.edu.pl/~flaga_st/kurs-plc-hmi-s7-1200/
CoDeSys - get preset time from the HMI; #CoDeSys #visualization #GetPresetTime
มุมมอง 4018 หลายเดือนก่อน
CoDeSys - get preset time from the HMI
CoDeSys Visualization: Text List, Dynamic Texts; #CoDeSys #visualization #TextList
มุมมอง 7258 หลายเดือนก่อน
CoDeSys Visualization: Text List, Dynamic Texts
Visualization - display of values of time type variables; #CoDeSys, #visualization, #DisplayTime
มุมมอง 3578 หลายเดือนก่อน
Visualization - display of values of time type variables
CoDeSys - pulse generator programmed with TON and TOF timers #CoDeSys #PulseGenerator #TON #TOF
มุมมอง 9248 หลายเดือนก่อน
CoDeSys - pulse generator programmed with TON and TOF timers
Pulse generator on one timer TON #CoDeSys #pulsegenerator #ton
มุมมอง 6368 หลายเดือนก่อน
Generates a pulse (clk) with a duration of one cycle. Using the constant P_ON allows easier addition of parallel branches. The period of the generated signal is equal to the sum of the Preset Time setting and the duration of one cycle. The generator starts from a low state (0) for the Preset Time. Then a high state (1) appears for the duration of one cycle. The variable numberOfGeneratorCycles ...
Jak zresetować zegary TP i TOF w CoDeSys #CoDeSys #timerReset #TP #TOF
มุมมอง 3478 หลายเดือนก่อน
Jak zresetować zegary TP i TOF w CoDeSys #CoDeSys #timerReset #TP #TOF
T-type flip-flop realized by observing the zero bit of an incremented byte-type variable
มุมมอง 2298 หลายเดือนก่อน
T-type flip-flop realized by observing the zero bit of an incremented byte-type variable
T-type flip-flop realized with SR function block
มุมมอง 2508 หลายเดือนก่อน
T-type flip-flop realized with SR function block
T-type flip-flop implemented using contact symbols implementing the XOR function
มุมมอง 2618 หลายเดือนก่อน
T-type flip-flop implemented using contact symbols implementing the XOR function
T-type flip-flop implemented with XOR instructions
มุมมอง 2658 หลายเดือนก่อน
T-type flip-flop implemented with XOR instructions
The LAD's flip-flop testing template
มุมมอง 1698 หลายเดือนก่อน
The LAD's flip-flop testing template
CoDeSys visualization bistable button
มุมมอง 2249 หลายเดือนก่อน
CoDeSys visualization bistable button
Dodaj wizualizację (visualization)
มุมมอง 1399 หลายเดือนก่อน
Dodaj wizualizację (visualization)
Jakub Majcher Projekt z języków programowania PLC
มุมมอง 4059 หลายเดือนก่อน
Jakub Majcher Projekt z języków programowania PLC
przenosnikCoDeSys
มุมมอง 1.2K9 หลายเดือนก่อน
przenosnikCoDeSys
Projekt studencki - Jakub Kalamaszek
มุมมอง 3879 หลายเดือนก่อน
Projekt studencki - Jakub Kalamaszek
Trend, HMI - KTP basic - TIA portal
มุมมอง 56311 หลายเดือนก่อน
Trend, HMI - KTP basic - TIA portal
PLCsim - S7-1200
มุมมอง 1.3Kปีที่แล้ว
PLCsim - S7-1200
S7-1200, obszary pamięci M, I typy bool, word, dword
มุมมอง 820ปีที่แล้ว
S7-1200, obszary pamięci M, I typy bool, word, dword
Logika pozytywna do zapisu algorytmu i programu
มุมมอง 837ปีที่แล้ว
Logika pozytywna do zapisu algorytmu i programu
Jak działa sterownik PLC - prezentacja od której kiedyś powinienem zacząć
มุมมอง 3.1Kปีที่แล้ว
Jak działa sterownik PLC - prezentacja od której kiedyś powinienem zacząć
PUT GET - komunikacja między PLC
มุมมอง 1.2Kปีที่แล้ว
PUT GET - komunikacja między PLC
Materiał pomocniczy do zadania ze sterowaniem pompą agregatu hydraulicznego - SKALOWANIE
มุมมอง 570ปีที่แล้ว
Materiał pomocniczy do zadania ze sterowaniem pompą agregatu hydraulicznego - SKALOWANIE

ความคิดเห็น

  • @Piotr_Kania
    @Piotr_Kania 22 วันที่ผ่านมา

    Edukacja na AGH już zakończona więc bez posądzeń o lizusotwo mogę napisać że filmiki które Pan nagrywa są bardzo przydatne, nawet po zakończeniu studiów, zwłaszcza gdy po latach trzeba wrócić do pewnych zagadnień. Filmiki z serii CoDeSys przydały się do przygotowań do kategorii WAGO Mistrzostw Polski Programistów PLC. Niestety w tej kategorii nie udało mi się stanąć na podium, ale jest tytuł II wice mistrza w kategorii TIA Open. Także dobra robota Panie doktorze, te filmiki i wiedza którą Pan przekazał podczas zajęć.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 22 วันที่ผ่านมา

      Dziękuję za dobre słowo. Ciszę się, że te materiały się przydają. Nazwisko chyba słusznie kojarzę :)

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 22 วันที่ผ่านมา

      I jeszcze jedno - gratuluję II wice. To nie był prosty turniej.

  • @karoljaskulski12
    @karoljaskulski12 หลายเดือนก่อน

    Dzień dobry, Potrzebuję zrobić coś podobnego, lecz działającego na odwrót. Mam 2 wejścia analogowe, jedno cyfrowe i jedno wyjście analogowe. W zależności od stanu wejścia cyfrowego I1 (0 lub1) na wyjście analogowe ma być przypisana wartość z wejścia analogowego IA1 lub IA2. Załóżmy, że I1=0 to QA przyjmuje wartość z IA1, natomiast gdy I1=1 to QA przyjmuje wartość z IA2. Proszę o pomoc.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga หลายเดือนก่อน

      W drabince można użyć dwóch bloków DB_ i na zbocza narastające i opadające I1 przepisywać wartości albo IA1 albo IA2 na QA. W tekście strukturalnym IF I1 THEN .....

  • @rafaeldebritoalves6837
    @rafaeldebritoalves6837 2 หลายเดือนก่อน

    In my application, this work on windows, but don't work on linux. Can you help me?

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 2 หลายเดือนก่อน

      Unfortunately, I can't help you. I work with CoeSys only on Windows.

  • @Sim0nBR
    @Sim0nBR 3 หลายเดือนก่อน

    Sorry the english

  • @Sim0nBR
    @Sim0nBR 3 หลายเดือนก่อน

    When i click the botton "Toolbox" opens but close fast automaticaly...

  • @Sim0nBR
    @Sim0nBR 3 หลายเดือนก่อน

    Can you help me ?

  • @Sim0nBR
    @Sim0nBR 3 หลายเดือนก่อน

    I try , but close fast...

  • @djfake8624
    @djfake8624 3 หลายเดือนก่อน

    Bardzo pomocna seria. Dziękuję za podjęty wysiłek i chęć podzielenia się wiedzą.

  • @edgardominguez7730
    @edgardominguez7730 5 หลายเดือนก่อน

    Buenas tardes amigo … puedes colocar la traducción a español … gracias saludos desde Santa Cruz-Bolivia

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 5 หลายเดือนก่อน

      Por desgracia, no hablo esta hermosa lengua. He añadido subtítulos en polaco. Espero que la traducción automática de los subtítulos al español te sea útil. (Traducción automática)

  • @TrustAlison
    @TrustAlison 5 หลายเดือนก่อน

    Hello! Good morning /Good evening, I have a problem with my codesys the FBD/LD/IL is not showing in the window so can’t use the tools inside can you help me fixed it

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 5 หลายเดือนก่อน

      In which window?

    • @TrustAlison
      @TrustAlison 5 หลายเดือนก่อน

      @@plc_hmi_it.stanislawflaga whenever I open codesys I am not able to see the FBD section in the Menu window. I am trying to use the CTU Block but without the FBD section I cannot access to it. I don’t know if you understood what I mean.

  • @amadeuszdubiel5579
    @amadeuszdubiel5579 5 หลายเดือนก่อน

    Dzień dobry Czy dobrze widzę na schemacie, że w szeregu z silnikiem wpięta jest dioda krzemowa?

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 5 หลายเดือนก่อน

      Jeżeli chodzi o strzałkę poniżej silnika M1 to jest tam pokazany kierunek przepływu prądu obok którego jest opisane maksymalne natężenie (dla tego wentylatora) 120mA. Wartość natężenia została wcześniej zmierzona zmierzona żeby nie popsuć wyjścia PLC. W stanowisku w kolejnej wersji, dla bezpieczeństwa, silnik zasilany jest przez SSR sterowany z Q0.0. Pozioma kreska poniżej strzałki nie jest opisana, a powinno tam być: 0 VDC - (nie jest to katoda diody :) )

    • @amadeuszdubiel5579
      @amadeuszdubiel5579 5 หลายเดือนก่อน

      @@plc_hmi_it.stanislawflaga Dziękuję za wyjaśnienie.

  • @ukaszkowalski725
    @ukaszkowalski725 5 หลายเดือนก่อน

    Dziś pracuję jako automatyk i Pana materiały się do tego przyczyniły. Dziękuję.

  • @murek5572
    @murek5572 6 หลายเดือนก่อน

    Jak można łatwo zrozumieć te 2 networki, jeden w języku LAD a drugi w języku STL. nie za bardzo rozumiem jak działa ten algorytm. Rozumiem, że zegar taktujący przesyła sygnał dalej co dwie sekundy ale co dalej się dzieje to dla mnie zagadka.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 6 หลายเดือนก่อน

      Network 1: Zmienna ”V”.zmiennaTaktujaca co 2 s zmienia stan na wysoki. Ten stan wysoki trwa tylko 1 cykl sterownika, ale wystarcza to zresetowanie zegara ”zegarTaktujacy”, który jest typu TON. Network 2: Instrukcja warunkowa IF sprawdza czy ”V”.zmiennaTaktujaca jest w stanie wysokim. Jest tak przez jeden cykl co 2 s. W tym właśnie cyklu (kiedy zmienna jest w stanie wysokim) zmienna ”V”.wyborKoloru jest inkrementowana (zwiększana o 1). Jeżeli po tej inkrementacji wartość ”V”.wyborKoloru jest większa od 4 to następuje jej zerowanie i wszystko zaczyna się od nowa. Ponieważ zmienna ”V”.wyborKoloru jest w HMI wykorzystana do animacji (zmiany koloru) to co 2 s następuje zmiana koloru.

    • @murek5572
      @murek5572 6 หลายเดือนก่อน

      Bardzo dziękuje za wytłumaczenie, wszystko jasne. Właśnie zacząłem przygodę z sterowaniem PLC oraz z automatyką w ogóle (pracownik utrzymania ruchu) pana filmy bardzo pomagają młodym osobą, które chcą wejść w świat automatyki i nie tylko !!@@plc_hmi_it.stanislawflaga

  • @abdlh_tab
    @abdlh_tab 6 หลายเดือนก่อน

    Thank you so much for your tutorial ❤ Can you please share the version's number of PLCSIM you used in this project ?! Thank you in advance ☺️

  • @1mektom2
    @1mektom2 6 หลายเดือนก่อน

    Super wytłumaczone. Czy Pan organizuje szkolenia? W Ciemno rezerwuję termin.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 6 หลายเดือนก่อน

      Prowadzę część zajęć na studiach podyplomowych pss.agh.edu.pl - zapraszam

    • @1mektom2
      @1mektom2 6 หลายเดือนก่อน

      Panie Stanisławie bardzo Chętnie ale mieszkam w Niemczech i szukam czegoś w formie zdalnej. Jestem Elektrykiem i już niczego nowego się nie nauczę tak mniemam w szyciu szaf dlatego chcę zrobić krok na przód w stronę programowania... @@plc_hmi_it.stanislawflaga

  • @mateopg9155
    @mateopg9155 6 หลายเดือนก่อน

    Dzień dobry. Jakiego należy użyć bloku aby wykorzystać wejście analogowe do załączenia wyjścia w sterowniku? Chodzi o to aby przy użyciu zadajnika napięciowego własnej roboty np w zakresie 2-6 V było wysterowane np: Q1? Z góry dziękuje i pozdrawiam.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 6 หลายเดือนก่อน

      W grupie "Moduły: arytmetyczny i analogowy" do wyboru dwa bloki: CP - komparator lub A - Komparator wielkości analogowych. Więcej informacji po naciśnięciu F1 na wstawionym bloku.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 6 หลายเดือนก่อน

      A w tekście strukturalnym wyrażenie logiczne - np. Q1 := (IA1 > xxx1) AND (IA1 < xxx2); gdzie za xxx1 i xxx2 należy podstawić granice przedziału

  • @ramazanyarbi
    @ramazanyarbi 6 หลายเดือนก่อน

    👍🏻

  • @AutomatycznyPodcast
    @AutomatycznyPodcast 6 หลายเดือนก่อน

    Jak na symulację, to całkiem niezłe. Ciekawi mnie, czy fizyczny/prawdziwy układ funkcjonowałby równie płynnie, co w symulacji na HMI? :)

  • @AutomatycznyPodcast
    @AutomatycznyPodcast 6 หลายเดือนก่อน

    Bardzo ładne i szczegółowe wizu :)

  • @AutomatycznyPodcast
    @AutomatycznyPodcast 6 หลายเดือนก่อน

    Ciekawe i interesujące choć wizu ma jeszcze potencjał rozwoju :)

  • @IgorTechnology
    @IgorTechnology 7 หลายเดือนก่อน

    Świetne liczenie kurczaków! Czekam na wiecej

  • @filipowsiany5887
    @filipowsiany5887 8 หลายเดือนก่อน

    Nice!

  • @SawekKowalski-st8lk
    @SawekKowalski-st8lk 11 หลายเดือนก่อน

    Pisząc w LD łatwo jest dodać styk z literką N i P. A jak to wygląda jak piszemy problem w Structure Text (ST)? Irytuje mnie deklarowanie zmiennych R_TRIG i F_TRIG więc może można to załatwić inaczej?

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 11 หลายเดือนก่อน

      SCL to inny język niż LD. Jeżeli chce się używać R_TRIG i F_TRIG (są to FB) to trzeba zadeklarować zmienne instancyjne związane z nimi - tak jak do każdego innego FB. Można też wykryć zbocze bez korzystania z tych FB, np: //Wykrycie zbocz P na in1 //out7 - znacznik zbocza P na in1 //out8 - zmienna pomocnicza out7 := NOT out8 AND in1; out8 := in1; //akcje na zbocze IF out7 THEN //akcje na wykryte zbocze END_IF Dziwne numery zmiennych wynikają z jakiegoś mojego przykładu

  • @pik70pik
    @pik70pik ปีที่แล้ว

    Jak skonfigurować licznik częstotliwości w EASY , tak aby wyświetlał ilość impulsów na min , i czy jest to możliwe do zrobienia?

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga ปีที่แล้ว

      W E4 jest 4 CF przypisane automatycznie do analogicznych wejść od I1 do I4. Standardowo zlicza impulsy w interwale 1s (można to zmienić). Opis wejść i wyjść CF po naciśnięciu F1 na bloczku. Wynik (f) na wyjściach QV i QF zapisane jako liczba stałopozycyjna. Dla poprawienia dokładności na QF wynik z liczby impulsów pomnożonych przez 10. Nie trzeba specjalnie konfigurować wejść, wystarczy użyć bloczka CF

  • @adrianjenkner2775
    @adrianjenkner2775 ปีที่แล้ว

    Dałem łapkę w górę za "NAJWAŻNIEJSZE PRZESŁANIE FILMU". Warto wspomnieć, że można pójść jeszcze krok dalej w kierunku obiektowości i korzystać tam, gdzie tylko się da z FB zamiast dzielenia programu na FC + DB. Siemens cierpi na brak obiektów typu Program (PRG) z innych sterowników takich jak Codesys, które łączą deklarację zmiennych z implementacją kodu. Najbliższym odpowiednikiem jest single instance FB.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga ปีที่แล้ว

      Dziękuję za słuszna uwagi. Stan obecny jest taki, że SCL w S7 natywnie nie wspiera programowania obiektowego. Ze względu na to że w FC nie można zadeklarować zmiennych klasy static faktycznie spotyka się rozwiązania z zastępowaniem ich w globalnych DB - jest to z gruntu złe. Tak w FC jak i FB nie powinno się stosować zmiennych globalnych. FB nie mają takich ograniczeń poprzez interfejs przechowywany w instancyjnym DB. W procesach szybkozmiennych należy uważać z nadużywaniem - szczególnie w instrukcjach iteracyjnych - wywoływania FB i FC ponieważ ma to duży wpływ na czas cyklu - pokazałem to w th-cam.com/video/tprBws_t7Fg/w-d-xo.html

  • @majtej2403
    @majtej2403 ปีที่แล้ว

    To jest to samo co w językach wyższego poziomu np: C goto: label, której zaleca się unikać ze względu na słabą czytelność programu. Może kiedyś języki programowania PLC osiągną poziom języków programowania PCtów z przed 30 lat. SCL jest na dobrej drodze. Może Arduino PLC? ;-)

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga ปีที่แล้ว

      Dziękuję za komentarz. Na poruszone przez Pana problemy nie da się odpowiedzieć w komentarzu ponieważ jest to materiał na długie rozmowy. Celem filmiku było pokazanie, że instrukcje skoków są dostępne - przesłaniem nie jest zachęcanie do ich nadużywania. Podobnie jak w C ich wykorzystanie powinno być dobrze przemyślane - nie powinno być drogą na skróty - chociaż obsługa błędów w C przy pomocy goto nie jest uważana za nic zdrożnego. Programowanie urządzeń sterujących jeszcze długo będzie się różniło od programowania desktopów - niezależnie od tego czy są to PLC czy "desktopy przemysłowe". Arduino PLC i realny przemysł? Czas pokaże. W chwili obecnej na platformie Arduino Siemens pod swoim logo produkuje bramki IoT.

    • @majtej2403
      @majtej2403 ปีที่แล้ว

      @@plc_hmi_it.stanislawflaga Szczerze nie spodziewałem się że Siemens sięgnie po rozwiązania takie "nie do końca przemysłowe" typu Arduino. Ciekawe czy oprogramowanie piszą od zera czy wykorzystują biblioteki z Arduino.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga ปีที่แล้ว

      @@majtej2403 cache.industry.siemens.com/dl/files/016/109779016/att_1018122/v1/iot2050_operating_instructions_en_en-US.pdf

  • @rafalechowicz398
    @rafalechowicz398 ปีที่แล้ว

    Czekamy na pełny kurs z easy E4 lub logo 8. Wyrazy szacunku za ogrom wiedzy. Pozdrawiam serdecznie

  • @loczekmoziu9476
    @loczekmoziu9476 ปีที่แล้ว

    mi bardziej pasuje ogaldanie to z napisami niż słuchanie zacięc,a filmik bardzo przyjemny,milo sie zaskoczylem bo zwykle natrafiałem na typowe "odwal się" kursy

  • @Efoix
    @Efoix ปีที่แล้ว

    Napis na cały ekran zdecydowanie poprawia przejrzystość filmu.

  • @marekwrona2379
    @marekwrona2379 ปีที่แล้ว

    Świetne lekcje! Mam pytanie dotyczące lekcji 06. Podczas kompilacji pojawia się błąd: nieznana zmienna F_TRIG. Ponadto nie wiem jak dodać styk z literą N i P wewnątrz. W moim przyborniku tych styków nie ma. Proszę o wskazówkę jak to zrobić.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga ปีที่แล้ว

      Najprościej dodać styk NO, ustawić na nim kursor i nacisnąć literę N lub P. F_TRIG jest FB zdefiniowanym w bibliotece standard i pewnie ta biblioteka nie jest załączona: "Library Manager"->"ADD Library"->Application->Common->Standard

    • @marekwrona2379
      @marekwrona2379 ปีที่แล้ว

      @@plc_hmi_it.stanislawflaga Dziękuję za wskazówki. Bibliotekę miałem dodaną, postępowałem zgodnie z Pańską lekcją. Jednak ponowne otwarcie projektu załatwiło sprawę - błąd się nie pojawił.

  • @sntr37
    @sntr37 ปีที่แล้ว

    Bardzo pomocny filmik

  • @mariuszsmoter8476
    @mariuszsmoter8476 ปีที่แล้ว

    Bez głosu słabo to się ogląda.

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga ปีที่แล้ว

      Dziękuję za uwagę. Ta seria w całości będzie bez głosu. Z głosem ta treść miałaby 25 minut. Założenie jest takie, że ktoś uruchamia CoDeSys i pracuje równolegle z filmem.

  • @mariotom4797
    @mariotom4797 ปีที่แล้ว

    To się nazywa wyposażenie👍

  • @michaszubert7178
    @michaszubert7178 ปีที่แล้ว

    Kolejny świetny film!

  • @snicco2454
    @snicco2454 2 ปีที่แล้ว

    leniwiec

  • @pavelkali5962
    @pavelkali5962 2 ปีที่แล้ว

    Panie Stanisławie, dobrze widzieć że znów Pan nagrywa! Fajnie ze w odświeżonej formie. Nie możemy doczekać się kolejnych publikacji

  • @ukaszkowalski725
    @ukaszkowalski725 2 ปีที่แล้ว

    Nie rozumiem tego zapisu funkcji. Czy funkcja nie powinna być zapisana: FC0(bi := S1_bi, mono := S1_mono, _S :=S1) Zamiast: FC0(S1_bi, S1_mono, S1)? Skąd program wie, do jakich zmiennych w FC, przypisał Pan zmienne z programu głównego? Wiem, że Panu działa, a

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 2 ปีที่แล้ว

      Słuszna uwaga. Jest to być może poza specyfikacją ST, ale jeżeli nie mamy argumentów zwracanych przez FC (output), a tutaj mamy tylko przekazywanie przez wartość (input) i adres (inout) to możemy zrezygnować z jawnego przypisywania wartości argumentów operatorem := i potraktować argumenty jako pozycyjne tak jak np. w C. Ma Pan rację, że bardziej dydaktycznie byłoby to zrobić tak jak Pan to zapisał.

  • @patryk5436
    @patryk5436 2 ปีที่แล้ว

    super poradnik, pozdrawiam

  • @rafalw121
    @rafalw121 2 ปีที่แล้ว

    Witam U mnie tia p.. nie pokazuje że sterownik jest w innej sieci niż mój komp... i nie proponuję dodania dodatkowego adresu do karty sieciowej Te inne możliwości o których Pan wspomniał ? Z góry dziękuje i pozdrawiam

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 2 ปีที่แล้ว

      Taka informacja pojawi się tylko 1 raz w ,,sesji,, ze sterownikiem. Jeżeli był Pan z tym sterownikiem OnLine z dowolnego powodu to do czasu restartu karty sieciowej więcej się nie pojawi - nie ma takiej potrzeby. Zbyt mało informacji aby udzielić konkretniejszej odpowiedzi.

  • @panpawe2923
    @panpawe2923 2 ปีที่แล้ว

    Szkoda że nie ma dźwięku:(

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 2 ปีที่แล้ว

      W najbliższym czasie ten film doczeka się aktualizacji

    • @panpawe2923
      @panpawe2923 2 ปีที่แล้ว

      @@plc_hmi_it.stanislawflaga Dziękuje za odpowiedź. Kurs zapowiada się intersująco. Szczególnie interesuję mnie nauka programowania bez fizycznego posiadania sterownika

  • @piotrrydygier2769
    @piotrrydygier2769 2 ปีที่แล้ว

    Super wytłumaczone 👍 👍👍

  • @lloydzik
    @lloydzik 2 ปีที่แล้ว

    Świetny materiał

  • @probart149
    @probart149 2 ปีที่แล้ว

    dobry film

  • @ukaszkowalski725
    @ukaszkowalski725 2 ปีที่แล้ว

    Witam. Mam pytanie. Kupiłem stary sterownik siemens 230RCO. Mam również do niego - chiński - kabel usb. Czy mógłbym go zaprogramować, za pomocą jakiegoś programu za darmo - normalnie używa się Logo Soft Comfort, ale chyba licencjonowany?...

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 2 ปีที่แล้ว

      Nie czuję się kompetentny w kwestii Logo, ale z tego co wiem wersje testowe (darmowe) oprogramowania nie pozwalają na wgrywanie napisanych programów do sterownika.

    • @ukaszkowalski725
      @ukaszkowalski725 2 ปีที่แล้ว

      @@plc_hmi_it.stanislawflaga Dzięki za odp. Właśnie siedzę, oglądam Pana filmy i ogarniam codesys.

  • @ukinarloch
    @ukinarloch 3 ปีที่แล้ว

    Świetny poradnik, tylko dobrego mikrofonu brakuje. Pozdrawiam

  • @ukaszkowalski725
    @ukaszkowalski725 3 ปีที่แล้ว

    Zastanawiam się, czy te wartoś i możnaby przeliczyć w inny sposób. Widzę, że przeliczenie typu: 1v = 1pkt, 2v = 3pkt, 3v= 6pkt. itd. nie jest skomplkowane. Ale co gdyby:1V= 1pkt, 2V=4pkt, 3V=9pkt, 4V=16pkt. Czy stworzenie tego typu przelicznika byłoby skomplikowane?

  • @ukaszkowalski725
    @ukaszkowalski725 3 ปีที่แล้ว

    Materiał świetny, ale ten znak wodny jest irytujący...

  • @ukaszkowalski725
    @ukaszkowalski725 3 ปีที่แล้ว

    Czy jest jakiś zewnętrzny konwerter A/D, który można podłączyć do Siemens pod wejście cyfrowe? I czy wogóle w TIA Portal, możnaby odczytać tą wartość - czy do wejsćia analogowego, możnaby przypisać blok, interpretujący tak przetworzony sygnał - np. 001011000011010?

    • @plc_hmi_it.stanislawflaga
      @plc_hmi_it.stanislawflaga 3 ปีที่แล้ว

      Dla S7-1200 istnieją płytki sygnałowe (poza 2 wbudowanymi AI). Wykorzystanie wejść cyfrowych jako odbiornika w komunikacji szeregowej (rozumiem, że te 010001 .... miałyby być przesyłane szeregowo) byłoby mało efektywne i o takim rozwiązaniu przemysłowym nie słyszałem. Ale oczywiście FB realizujący taką funkcjonalność można napisać.

  • @kamilkowalski466
    @kamilkowalski466 3 ปีที่แล้ว

    Doceniam to co pan robi.!!!! Za takie kursy to nawet bym mógł płacić :)

  • @ankakowalska5538
    @ankakowalska5538 3 ปีที่แล้ว

    Wreszcie jasno wyjaśnione znaczenie magicznej liczby 27648