VIVEK DEHRI INSTRUCTOR BIT SINDRI
VIVEK DEHRI INSTRUCTOR BIT SINDRI
  • 274
  • 44 840
voltage measurement using cro, rms voltage measurement,
voltage measurement using cro, rms voltage measurement,
Welcome to my youtube channel : VIVEK DEHRI INSTRUCTOR BIT SINDRI ,this channel is your source for learning everything related to electronics equipments, components, electronics projects, different laboratories experiments,viva-voce question -answer,different types of software and much more in hindi.
I am Uploading Electronics & communication engineering Laboratories and other Activities Videos of the ECE Department.
channel link : th-cam.com/channels/4CgeIFIAYOlR18-zJOzs_Q.html.
instagram link : vivekdehri28
💎subscribe to my channel for different laboratories & projects videos of :
▶basic electronics lab.
▶digital electronics & logic design lab.
▶analog electronics lab.
▶IoT lab.
▶mini project lab.
▶IoT project.
▶PCB design & soldering
▶autodesk Eagle Software(PCB Designing).
▶multisim software (circuit design)
🎤🎤for any inquiries related to service, email:vivekdehribitsindri@gmail.com
Rms voltage and peak voltage,Rms voltage measurement,Voltage measurement using cro,cro,oscilloscope,peak voltage,rms voltage measurement,rms vs peak,root mean square,voltage measurement using cro
มุมมอง: 49

วีดีโอ

frequency measurement, 4th method
มุมมอง 2328 วันที่ผ่านมา
frequency measurement, 4th method Welcome to my youtube channel : VIVEK DEHRI INSTRUCTOR BIT SINDRI ,this channel is your source for learning everything related to electronics equipments, components, electronics projects, different laboratories experiments,viva-voce question -answer,different types of software and much more in hindi. I am Uploading Electronics & communication engineering Labora...
frequency measurement using analog cro,
มุมมอง 24หลายเดือนก่อน
frequency measurement using analog cro, Welcome to my youtube channel : VIVEK DEHRI INSTRUCTOR BIT SINDRI ,this channel is your source for learning everything related to electronics equipments, components, electronics projects, different laboratories experiments,viva-voce question -answer,different types of software and much more in hindi. I am Uploading Electronics & communication engineering ...
CRO से FREQUENCY MEASUREMENT करना सीखें । how to measure frequency using cro.
มุมมอง 46หลายเดือนก่อน
CRO से FREQUENCY MEASUREMENT करना सीखें । how to measure frequency using cro. 1ST METHOD LINK : th-cam.com/video/VdvORqSaXpg/w-d-xo.html Welcome to my youtube channel : VIVEK DEHRI INSTRUCTOR BIT SINDRI ,this channel is your source for learning everything related to electronics equipments, components, electronics projects, different laboratories experiments,viva-voce question -answer,different ...
comparator using op amp experiment, comparator circuit,
มุมมอง 55หลายเดือนก่อน
comparator using op amp experiment, comparator circuit, Welcome to my youtube channel" VIVEK DEHRI INSTRUCTOR BIT SINDRI ,this channel is your source for learning everything related to electronics equipments, components, electronics projects, different laboratories experiments,viva-voce question -answer,different types of software and much more in hindi. I am Uploading Electronics & communicati...
frequency measurement करना सीखें। how to measure frequency using cro.
มุมมอง 1742 หลายเดือนก่อน
frequency measurement करना सीखें। how to measure frequency using cro.
Expt.No.1 DELD Lab, study of logic gates: NOT,AND,OR,NAND,NOR,XOR.
มุมมอง 992 หลายเดือนก่อน
Expt.No.1 DELD Lab, study of logic gates: NOT,AND,OR,NAND,NOR,XOR.
JK FLIP FLOP का TRUTH TABLE को घर बैठे VERIFY करें ।
มุมมอง 472 หลายเดือนก่อน
JK FLIP FLOP का TRUTH TABLE को घर बैठे VERIFY करें ।
how to generate negative triggering signal for jk flip-flop,
มุมมอง 1012 หลายเดือนก่อน
how to generate negative triggering signal for jk flip-flop,
zero crossing detector using op amp, comparator,
มุมมอง 3.8K2 หลายเดือนก่อน
zero crossing detector using op amp, comparator,
Eagle 7.5.0, diode footprint and symbol design in hindi,
มุมมอง 422 หลายเดือนก่อน
Eagle 7.5.0, diode footprint and symbol design in hindi,
multisim, design of logic circuit in multisim, logic unit,
มุมมอง 442 หลายเดือนก่อน
multisim, design of logic circuit in multisim, logic unit,
octal to decimal conversion, binary to decimal conversion,
มุมมอง 162 หลายเดือนก่อน
octal to decimal conversion, binary to decimal conversion,
Eagle 7.5.0, Regulator Symbol and Footprint Design in Hindi,
มุมมอง 412 หลายเดือนก่อน
Eagle 7.5.0, Regulator Symbol and Footprint Design in Hindi,
#shorts, resistor symbol design
มุมมอง 102 หลายเดือนก่อน
#shorts, resistor symbol design
#short, octal to binary
มุมมอง 122 หลายเดือนก่อน
#short, octal to binary
octal to binary conversion in hindi, decimal to binary conversion ,
มุมมอง 192 หลายเดือนก่อน
octal to binary conversion in hindi, decimal to binary conversion ,
Eagle 7.5.0, footprint and symbol design, how to design footprint?,
มุมมอง 412 หลายเดือนก่อน
Eagle 7.5.0, footprint and symbol design, how to design footprint?,
current to voltage converter using op amp, hands on experiment,
มุมมอง 2263 หลายเดือนก่อน
current to voltage converter using op amp, hands on experiment,
Eagle 7.5.0, PCB Engraving, Cutting, Drilling, G code upload,
มุมมอง 723 หลายเดือนก่อน
Eagle 7.5.0, PCB Engraving, Cutting, Drilling, G code upload,
#shorts, PCB cutting
มุมมอง 493 หลายเดือนก่อน
#shorts, PCB cutting
radix 7 to decimal conversion, hexadecimal arithmatic, number system,
มุมมอง 223 หลายเดือนก่อน
radix 7 to decimal conversion, hexadecimal arithmatic, number system,
Eagle 7.5.0,schematic design and routing, ldr circuit,
มุมมอง 1003 หลายเดือนก่อน
Eagle 7.5.0,schematic design and routing, ldr circuit,
Eagle 7.5.0, G code upload, engraving, cutting and drilling using CNC Machine,
มุมมอง 1543 หลายเดือนก่อน
Eagle 7.5.0, G code upload, engraving, cutting and drilling using CNC Machine,
Eagle 7.5.0 , Gerber files & G code generate, copper CAM,
มุมมอง 1163 หลายเดือนก่อน
Eagle 7.5.0 , Gerber files & G code generate, copper CAM,
eagle 7.5.0 schematic design and routing, pcb design,
มุมมอง 1003 หลายเดือนก่อน
eagle 7.5.0 schematic design and routing, pcb design,
#short,PCB Drilling
มุมมอง 993 หลายเดือนก่อน
#short,PCB Drilling
mini-project, home security system,
มุมมอง 2593 หลายเดือนก่อน
mini-project, home security system,
#short, triangle waveform generator
มุมมอง 674 หลายเดือนก่อน
#short, triangle waveform generator
triangular waveform generator, mini project for ece,
มุมมอง 1324 หลายเดือนก่อน
triangular waveform generator, mini project for ece,

ความคิดเห็น

  • @SamraMalik-jp8zd
    @SamraMalik-jp8zd 2 หลายเดือนก่อน

    Sir agr aik resistor use krien tb kesy perform hoga yeh

    • @vivekdehriinstructorbitsin4192
      @vivekdehriinstructorbitsin4192 2 หลายเดือนก่อน

      Circuit proper work nhi karega, voltage divider rule ka concept lga hai.

    • @SamraMalik-jp8zd
      @SamraMalik-jp8zd 2 หลายเดือนก่อน

      @@vivekdehriinstructorbitsin4192 ok sir

  • @Naman2808
    @Naman2808 2 หลายเดือนก่อน

    Excellent

  • @KathirThiru-dn1jr
    @KathirThiru-dn1jr 4 หลายเดือนก่อน

    Hello bhaiya amko mistake lathunga 1010 0111 > 11110101

  • @learningtimewithsmartkids3261
    @learningtimewithsmartkids3261 5 หลายเดือนก่อน

    Kvf या combs aaya sulb veer ढ़ ucch chha uddhav ko e acha

  • @newsoftheday2201
    @newsoftheday2201 6 หลายเดือนก่อน

    Sir......iss video pe....job A ko vcc dia hee...and B ko Vcc & Gnd bhi nehi dia hee app ...B ko Vcc dene Bali ho.....Led Glow kar ra he kyu??????

  • @sy2jgaming
    @sy2jgaming 8 หลายเดือนก่อน

    Sir video acha banate hai aap lekin 199 rupya ka Mike use Kiya kariye video jaha taha ka sound nahi ayega😊

  • @RAVISHBITSINDRI
    @RAVISHBITSINDRI 9 หลายเดือนก่อน

    Bahut achha lag raha hai sir

  • @maltibai2786
    @maltibai2786 9 หลายเดือนก่อน

    🎉❤biurfarula

  • @ujjwalkumar8629
    @ujjwalkumar8629 9 หลายเดือนก่อน

    Best video for understanding deld practicals

  • @hansda23
    @hansda23 9 หลายเดือนก่อน

    Sir isme 4.5 v q dete hai

    • @vivekdehriinstructorbitsin4192
      @vivekdehriinstructorbitsin4192 9 หลายเดือนก่อน

      TTL IC में maximum 5 volt तक DC supply दे सकते हैं और minimum 3.5 volt तक।

  • @maltibai2786
    @maltibai2786 9 หลายเดือนก่อน

    Verinaistichar❤❤😢

  • @shaikhaltaf9756
    @shaikhaltaf9756 10 หลายเดือนก่อน

    Sir aap ka contact number mere ko ye vala cro chye

  • @shaikhaltaf9756
    @shaikhaltaf9756 10 หลายเดือนก่อน

    Sir aap ka contact number

  • @maltibai2786
    @maltibai2786 10 หลายเดือนก่อน

    🎉❤

  • @maltibai2786
    @maltibai2786 10 หลายเดือนก่อน

    Nais🎉❤

  • @henoknigatu7121
    @henoknigatu7121 10 หลายเดือนก่อน

    can you make video on how one port and two port network synthesis applied practically on designing ckts like filters and amplifiers, thank you.

  • @maltibai2786
    @maltibai2786 10 หลายเดือนก่อน

    ❤ok

  • @maltibai2786
    @maltibai2786 10 หลายเดือนก่อน

    ❤😂😢🎉nais

  • @maltibai2786
    @maltibai2786 10 หลายเดือนก่อน

    Keseho

  • @ANKITRAJ-ex7jm
    @ANKITRAJ-ex7jm 11 หลายเดือนก่อน

    Nice video sir

  • @ANKITRAJ-ex7jm
    @ANKITRAJ-ex7jm 11 หลายเดือนก่อน

    Nice video sir

  • @PremiumPKD
    @PremiumPKD ปีที่แล้ว

    Thnku sir.... Or bhi video banaye a pls for isro practical exam...

  • @nityanandadas5575
    @nityanandadas5575 ปีที่แล้ว

    If unknown frequency is 7 kH, is it possible to measure?

  • @shankar2091
    @shankar2091 ปีที่แล้ว

    Very useful demonstration Vivek ji...!

  • @shankar2091
    @shankar2091 ปีที่แล้ว

    Very good explanation Vivek ji. Please make more videos

  • @suchitadange
    @suchitadange ปีที่แล้ว

    Zero pcb par assemble karke batayiye plz sir

  • @suchitadange
    @suchitadange ปีที่แล้ว

    Sir plz RC phase shift oscillator wein bridge oscillator ka practical batayiye

  • @suchitadange
    @suchitadange ปีที่แล้ว

    How assemble in zero pcb . Plz explain

  • @suchitadange
    @suchitadange ปีที่แล้ว

    Page ki upper ki diagram clear dikh nahi Rahi hai

  • @suchitadange
    @suchitadange ปีที่แล้ว

    Pic clear nahi hai

  • @suchitadange
    @suchitadange ปีที่แล้ว

    Normal cro working and cro ka each button ka kaise function karta uska video bnayiye plz

  • @suchitadange
    @suchitadange ปีที่แล้ว

    Hello sir automatically cro measure kar raha hai. Bt sir hm cro ko kaise physical handle kare . Freq , time kaise calculate kare batayiye plz

  • @rohith4885
    @rohith4885 ปีที่แล้ว

    How you generated the blue square wave signal

  • @fbi8383
    @fbi8383 ปีที่แล้ว

    Use 5.1V Zener diode in output for protection 🙂

  • @Abhisng
    @Abhisng ปีที่แล้ว

    Very understanding explanation 👌👌👌

  • @Abhisng
    @Abhisng ปีที่แล้ว

    Excellent way of explanation

  • @ankitasingh2657
    @ankitasingh2657 ปีที่แล้ว

    Good explanation

  • @sachin26051992
    @sachin26051992 ปีที่แล้ว

    nice 👍

  • @nsmaf4279
    @nsmaf4279 ปีที่แล้ว

    Very good👍👍👍