Bays Production
Bays Production
  • 1 597
  • 829 994
SYARAT SIJI || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
Dokumentasi Walimatul Khitan Dan Rasul
NUR SANDI
NUR HASANAH
Pemangku Hajat :
Bpk. Sudinah Ibu. Daeni
Dilaksanakan Pada
hari Sabtu Malam Minggu
Tanggal 13 Juli 2024
Bertempat Di
Desa. Rambatan wetan Blok. Barat
kec.Sindang kab.Indramayu'
Dimeriahkan :
Organ Dangdut Yang Sepoy
AYANG NADA
Dari Rambatan Wetan
.......................................................................................................................................
Bays Production Alamat: Desa Rambatan Wetan Blok A (Barat) Kec.Sindang Kab.Indramayu
Terima Kasih Sudah Menonton Video Dari Kami
Jangan Lupa Like, Share, Comment
& Subscribe Chanel TH-cam Bays Production
kontak Job Info :087724719466
#BaysProduction
#BaysTenda
มุมมอง: 50

วีดีโอ

PENGUASA ATI || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 4121 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
DASARE MASIH DEMEN || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 9021 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
DAGANG KANGKUNG || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 7021 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
CINTA NING LAMUNAN || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 3221 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
UNYU UNYU || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 5121 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
LANANGE JAGAT || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 1821 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
CANTIK || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 4021 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
SURAT BIRU || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 2021 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
BIBIT ROTI || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 2121 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
JEGGER || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 2121 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
TOANG TAMBI || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 2421 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
HITAM || YANG SEPOY AYANG NADA || HAJATNYA BPK. SUDINAH IBU DAENI || 13-07-2024
มุมมอง 2221 ชั่วโมงที่ผ่านมา
Dokumentasi Walimatul Khitan Dan Rasul NUR SANDI NUR HASANAH Pemangku Hajat : Bpk. Sudinah Ibu. Daeni Dilaksanakan Pada hari Sabtu Malam Minggu Tanggal 13 Juli 2024 Bertempat Di Desa. Rambatan wetan Blok. Barat kec.Sindang kab.Indramayu' Dimeriahkan : Organ Dangdut Yang Sepoy AYANG NADA Dari Rambatan Wetan ............................................................................................
JARAN LUMPING || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 71วันที่ผ่านมา
JARAN LUMPING || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
RAHASIA HATI VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 65วันที่ผ่านมา
RAHASIA HATI VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
DILORO VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 73วันที่ผ่านมา
DILORO VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
PRIANGAN VOC ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 45วันที่ผ่านมา
PRIANGAN VOC ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
TOANG TAMBI VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 48วันที่ผ่านมา
TOANG TAMBI VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
BIBITE ROTI VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 21วันที่ผ่านมา
BIBITE ROTI VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
JAYANTI VOC ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 35วันที่ผ่านมา
JAYANTI VOC ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
MANGAN DUGAL VOC ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 29วันที่ผ่านมา
MANGAN DUGAL VOC ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
BLI DUE DUIT VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 18วันที่ผ่านมา
BLI DUE DUIT VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
IMING IMING VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 141วันที่ผ่านมา
IMING IMING VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
KEPASTIAN VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 256วันที่ผ่านมา
KEPASTIAN VOC ANITA ILYAS || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
RACUN DIBUNGKUS MADU VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 27วันที่ผ่านมา
RACUN DIBUNGKUS MADU VOC IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
UGAL UGALAN VOC: IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 12วันที่ผ่านมา
UGAL UGALAN VOC: IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
AKU DILAHIRKAN UNTUK SIAPA VOC: SYUKUR S || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 167วันที่ผ่านมา
AKU DILAHIRKAN UNTUK SIAPA VOC: SYUKUR S || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
UNYU UNYU VOC: IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 41วันที่ผ่านมา
UNYU UNYU VOC: IMUT FAJRI || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
TAKON STATUS VOC: ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 14วันที่ผ่านมา
TAKON STATUS VOC: ERY SHEVTIANY || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
PENGANTEN BARU || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024
มุมมอง 28วันที่ผ่านมา
PENGANTEN BARU || IWAN MUSIK || HAJATNYA BPK.UMAR IBU DILAH || 17-07-2024

ความคิดเห็น

  • @habibi-rk3di
    @habibi-rk3di 21 ชั่วโมงที่ผ่านมา

  • @habibi-rk3di
    @habibi-rk3di 21 ชั่วโมงที่ผ่านมา

    bang

  • @RainAin-sr6zq
    @RainAin-sr6zq วันที่ผ่านมา

    Sik..sawr.mumupng..parek

  • @RitaRental
    @RitaRental 10 วันที่ผ่านมา

    Video sing aktraksian kah jaran lumping diunggah gh mas

  • @RitaRental
    @RitaRental 10 วันที่ผ่านมา

    Video sing aktraksi jaran lumping durung diunggah th

  • @RitaRental
    @RitaRental 10 วันที่ผ่านมา

    Video sing aktraksi jaran lumping durung diunggah th

  • @user-ws4nb9nu5r
    @user-ws4nb9nu5r 12 วันที่ผ่านมา

    Mantep lan sedep mimi hj taswen jagate atis mbari ngopi tobahhh

  • @KALIWEDICIREBONJEH
    @KALIWEDICIREBONJEH 24 วันที่ผ่านมา

    Alfateah Yoyo s

  • @gibranpratama822
    @gibranpratama822 หลายเดือนก่อน

    om paris

  • @zaenal97
    @zaenal97 หลายเดือนก่อน

    Permata mandala ning sindang durung di aplod bae ang

  • @fariesta9254
    @fariesta9254 หลายเดือนก่อน

    🎉

  • @fazriidm
    @fazriidm หลายเดือนก่อน

    Permata mandala lgi tanggal 11 sih kapan di uploade ang

  • @KaryanaArane-fc1jc
    @KaryanaArane-fc1jc 2 หลายเดือนก่อน

    aduh Dlenge Smpe Lra Wtenge anoman Grep Di Cploki Ning Brong

  • @doniapmlarangan3308
    @doniapmlarangan3308 2 หลายเดือนก่อน

    Pas sulap kedok menyone ana ora aa 🙏🙏

  • @baysproduction7023
    @baysproduction7023 2 หลายเดือนก่อน

    Aih iya y. Maaf ko di rubah

  • @dedygemezz11.14
    @dedygemezz11.14 2 หลายเดือนก่อน

    Punten ang Nama lagune salah benere Pemuda Idaman 🙏🏻😅

  • @AA-io1oq
    @AA-io1oq 2 หลายเดือนก่อน

    A sing ning pindangan tgl 2 durung di up bae

  • @FritoJumantoroishak-zm9xg
    @FritoJumantoroishak-zm9xg 2 หลายเดือนก่อน

    Sng sejene kpan diupload e ?

  • @mediaproeriyantoofficial
    @mediaproeriyantoofficial 2 หลายเดือนก่อน

    Assalamualaikum kang

  • @FritoJumantoroishak-zm9xg
    @FritoJumantoroishak-zm9xg 3 หลายเดือนก่อน

    Sng lgi Ng pabean udik drung diupload bae ang ?

  • @user-eu6vz5zt9f
    @user-eu6vz5zt9f 3 หลายเดือนก่อน

    Ora pas radene.. Dudu Bambang Mah...

  • @rohmanpkaudio2563
    @rohmanpkaudio2563 3 หลายเดือนก่อน

    Selamat pagi bos❤

  • @user-fe3ot4sz9r
    @user-fe3ot4sz9r 3 หลายเดือนก่อน

    Dadi Bambang mh ning ndi bae baka Ning lingga buana langka serih ganas se Bambang manjng

    • @patonapatona2509
      @patonapatona2509 3 หลายเดือนก่อน

      Perasaan Bambang kuh Ng Dwi warna SG pas enakan Karo cocok e ngedrip Karo Ella,loro"ane pinter Karo cemestry e kuh pas

    • @patonapatona2509
      @patonapatona2509 3 หลายเดือนก่อน

      Punten gh kepo Nang apa ya ngalih meng lingga buana

    • @user-ft2lr6cw5c
      @user-ft2lr6cw5c 3 หลายเดือนก่อน

      ​@@patonapatona2509karna ngalih

  • @patonapatona2509
    @patonapatona2509 3 หลายเดือนก่อน

    Bambange ngalih ning lingga buana tah,laka bambange

    • @aaryryn9856
      @aaryryn9856 3 หลายเดือนก่อน

      Iya bener nGalih ng LB

    • @patonapatona2509
      @patonapatona2509 3 หลายเดือนก่อน

      @@aaryryn9856 lalaaahh padahal mah Bambang kuh cocok Ng Dwi warna LAN cocok pasangan Karo Ella klop pisan,serasi kayae

  • @sintawati3989
    @sintawati3989 3 หลายเดือนก่อน

    pengantene kosi okol temen jogede 😁🤭

  • @sintawati3989
    @sintawati3989 3 หลายเดือนก่อน

    pengantene kosi okol jogede 🤣🤭

  • @ErnaWati-wn6bj
    @ErnaWati-wn6bj 3 หลายเดือนก่อน

    Leba endi kang lokasie

    • @IraweruhIraweruh
      @IraweruhIraweruh 3 หลายเดือนก่อน

      Buyut Ireng/buyut walasri

    • @IraweruhIraweruh
      @IraweruhIraweruh 3 หลายเดือนก่อน

      Buyut Ireng/buyut walasri

  • @wingsagitarius2993
    @wingsagitarius2993 3 หลายเดือนก่อน

    💟💟🙏

  • @kareemahk2009
    @kareemahk2009 3 หลายเดือนก่อน

    Dubai hadir

  • @user-is2jf3vj9q
    @user-is2jf3vj9q 3 หลายเดือนก่อน

    Nyimak terus

  • @user-is2jf3vj9q
    @user-is2jf3vj9q 3 หลายเดือนก่อน

    Nyimak teruh

  • @HeriSaeri-bf1xr
    @HeriSaeri-bf1xr 3 หลายเดือนก่อน

    😂

  • @YUNDA_ALNA_SHOW14219
    @YUNDA_ALNA_SHOW14219 3 หลายเดือนก่อน

    SALAM DERMAYU

  • @raflyhabtar6638
    @raflyhabtar6638 4 หลายเดือนก่อน

    ❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤oyes❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤❤

  • @user-hu7oy1sd3o
    @user-hu7oy1sd3o 4 หลายเดือนก่อน

    NAMAL😊😊😊😊

  • @DataCandra
    @DataCandra 4 หลายเดือนก่อน

    Wa Kosim lucu Karo Bambang bae

  • @user-is2jf3vj9q
    @user-is2jf3vj9q 4 หลายเดือนก่อน

    SADIWARA CADAR SARI SEMOGA MEHIBUR SADIWARA CADAR SARI

  • @user-is2jf3vj9q
    @user-is2jf3vj9q 4 หลายเดือนก่อน

    MAGAN JALU SADIWARA BIMA REMAJAH INDAH

  • @babingepet-wh5lk
    @babingepet-wh5lk 6 หลายเดือนก่อน

    Sasswwqqqqqqwww dan d 9o9o8899 6 dan 55y6yg dan 2wwawwwqwewwqqwwqqwqqwww zAXZCEWWETTDDD dan 2wwawwwqwewwqqwwqqwqqwww dan 2wwawwwqwewwqqwwqqwqqwww zAXZCEWWETTDDD dan d 2 dan 2wwawwwqwewwqqwwqqwqqwww

  • @solihinmustamid1463
    @solihinmustamid1463 6 หลายเดือนก่อน

    Bagus acaranya meriah

  • @user-qx6uk6rl4h
    @user-qx6uk6rl4h 6 หลายเดือนก่อน

    Ceramahe ora mutu

  • @user-xy3wr1fc2c
    @user-xy3wr1fc2c 6 หลายเดือนก่อน

    Suaranya merdu mahalul qiyam bikin merinding

  • @user-bv8mk5kw9p
    @user-bv8mk5kw9p 7 หลายเดือนก่อน

    Paris

  • @user-bv8mk5kw9p
    @user-bv8mk5kw9p 7 หลายเดือนก่อน

    P

  • @MaeNeng-je7hw
    @MaeNeng-je7hw 7 หลายเดือนก่อน

    Mantap Bae pokonmh

  • @user-xp4jp7if3t
    @user-xp4jp7if3t 7 หลายเดือนก่อน

    Mantap

  • @kareemahk2009
    @kareemahk2009 7 หลายเดือนก่อน

    Dubai hadir

  • @user-xp4jp7if3t
    @user-xp4jp7if3t 7 หลายเดือนก่อน

    Lanjut ane kang. Sing Sonjaya nyanyi

  • @user-kg8kk3ks3d
    @user-kg8kk3ks3d 7 หลายเดือนก่อน

    glora muda drng dongol dongol karo organ xena lagi hajate suratno rambatan wetan blok tanggul